JP2004363502A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2004363502A
JP2004363502A JP2003163017A JP2003163017A JP2004363502A JP 2004363502 A JP2004363502 A JP 2004363502A JP 2003163017 A JP2003163017 A JP 2003163017A JP 2003163017 A JP2003163017 A JP 2003163017A JP 2004363502 A JP2004363502 A JP 2004363502A
Authority
JP
Japan
Prior art keywords
film
metal silicate
silicon
semiconductor device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003163017A
Other languages
English (en)
Other versions
JP4229762B2 (ja
Inventor
Hiroaki Tomimori
浩昭 富盛
Hidemitsu Aoki
秀充 青木
Toshiyuki Iwamoto
敏幸 岩本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
NEC Corp
Original Assignee
NEC Electronics Corp
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp, NEC Corp filed Critical NEC Electronics Corp
Priority to JP2003163017A priority Critical patent/JP4229762B2/ja
Priority to US10/854,306 priority patent/US7192835B2/en
Priority to CNB2006101321397A priority patent/CN100527364C/zh
Priority to CNB2004100452819A priority patent/CN1317743C/zh
Publication of JP2004363502A publication Critical patent/JP2004363502A/ja
Priority to US11/700,003 priority patent/US7718532B2/en
Application granted granted Critical
Publication of JP4229762B2 publication Critical patent/JP4229762B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Weting (AREA)

Abstract

【課題】シリコン下地材料に損傷を与えることなくhigh−k膜を所望の形状にエッチングする技術を提供する。
【解決手段】シリコン基板50上に熱酸化法によりシリコン酸化膜52を形成し、その上にHfSiOxからなる高誘電率絶縁膜54を形成する。その後、レジスト層58をマスクとして、多結晶シリコン層56および高誘電率絶縁膜54をドライエッチングにより段階的に選択的に除去し、つづいて、多結晶シリコン層56をマスクとして、高誘電率絶縁膜54の残りとシリコン酸化膜52をウェットエッチングにより選択的に除去する。このとき、エッチング液として、リン酸および硫酸の混合液を用いる。エッチング液の温度は、好ましくは200℃以下、より好ましくは180℃以下とする。
【選択図】 図1

Description

【0001】
【発明の属する技術分野】
本発明は、半導体基板上に金属化合物膜を備える半導体装置およびその製造方法に関するものである。
【0002】
【従来の技術】
近年、半導体素子の構成材料として、high−kとよばれる高誘電率薄膜の利用が検討されている。high−k材料の代表的なものとしては、Zr、Hf等を含む酸化物が挙げられる。こうした材料をMOSFETのゲート絶縁膜や容量素子の容量膜に用いることにより、従来にない優れた素子性能を実現することが可能となる。
【0003】
特許文献1は、ハフニウム酸化膜の上面および下面にアルミウム酸化膜を形成した積層構造(Al−HfO−Al)のゲート絶縁膜を有するMOSFETが記載されている。high−k材料をトランジスタのゲート絶縁膜に用いた場合、ゲート絶縁膜の厚みをある程度厚くしてもシリコン酸化膜換算膜厚は薄くなり、物理的・構造的に安定なゲート絶縁膜を実現することができる。
【0004】
このようなゲート絶縁膜を具備するトランジスタを形成するにあたっては、ゲート電極作製後、ゲート電極以外の領域に形成されたゲート絶縁膜を除去することが必要となる。このゲート絶縁膜を除去せずに残存させると、短チャネル効果が顕著になってトランジスタの信頼性が低下する。
【0005】
ところが、ハフニウム酸化膜は一般にエッチングが困難である。上記公報には、ゲート絶縁膜はRIE(反応性イオンエッチング)により除去できると記載され、それだけで不十分であれば、プラズマエッチングにより除去してもよいことが記載されている。しかしながら、現実には、ハフニウム酸化膜をドライエッチング除去することは容易ではない。特にMOSFET形成プロセスにおいては、ゲート電極のアニール工程等で比較的高温の熱処理を行う必要があり、この工程で、ハフニウム酸化膜が結晶化し、ハフニウム酸化膜はさらにエッチング困難な膜に変換する。
【0006】
また、ハフニウム酸化膜をドライエッチングする場合、high−k材料の下部材料へのプラズマダメージが問題となることがあった。また、ハフニウム酸化膜のドライエッチングによりシリコン基板がエッチングされ、トランジスタの不純物拡散層の接合深さが変わり、リーク電流の増大を招くことがあった。
【0007】
一方、ハフニウム酸化膜をウエットエッチングにより除去しようとしても、容易に除去できない。非特許文献1にはこうした事情が記載されており、ハフニウム酸化膜をエッチング除去することが困難であることが記載され、こうした膜をUV光照射下でリン酸によりウエットエッチングすることによりエッチング可能であることが記載されている。逆に言えば、このような特別な処理をしなければならない程、ハフニウム酸化膜はエッチング除去困難な膜なのである。
【0008】
また、ウエットエッチングの場合、エッチングに際し、下地材となるシリコン基板の表面に損傷を与えないことが重要となる。前述のようにhigh−k材料からなる膜と基板との間に、シリコン熱酸化膜を形成することが一般的であるが、ハフニウム酸化膜をエッチング可能なエッチャントは、通常、シリコン熱酸化膜もエッチングし得るので、これらは同じエッチャントで一緒に除去され、シリコン基板表面が露出する。このようなプロセスを採用した場合、シリコン基板が損傷を受けやすい。こうした問題は、シリコン熱酸化膜を形成した場合だけでなく、SPM(硫酸−過酸化水素水)やAPM(アンモニア−過酸化水素水)を用いた基板洗浄工程でシリコン酸化膜が化学的に形成された場合にも同様に発生する。
【0009】
さらにウエットエッチングの場合、エッチングによりSTI(Shallow trench isolation)素子分離膜が表面に露出し、上記エッチャントにより溶解したり損傷が発生したりするという問題がある。素子分離膜は通常シリコン酸化膜により構成されるため、ハフニウム酸化膜をエッチング可能なエッチャントにより溶解等しやすいためである。
【0010】
【特許文献1】
特開2003−8004公報(段落0026〜0029、図1)
【0011】
【非特許文献1】
第50回応用物理額関係連合講演会 公演予稿集NO.2(2003年3月27日発行、神奈川大学) 第934ページ 29a−ZW−5 ”紫外線を照射するHfOのウエットエッチング”
【0012】
【発明が解決しようとする課題】
本発明はこうした事情を踏まえてなされたものであり、シリコン下地材料に損傷を与えることなくhigh−k膜を所望の形状にエッチングする技術を提供することにある。
【0013】
【課題を解決するための手段】
本発明によれば、シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を形成する工程と、前記金属シリケート膜を除去して前記下地材を露出させる工程とを含み、前記金属シリケート膜を除去するに際し、酸化性酸またはその塩を含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法が提供される。
【0014】
また本発明によれば、シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を含むゲート絶縁膜を形成する工程と、前記ゲート絶縁膜上にゲート電極膜を形成する工程と、前記ゲート電極膜を選択的に除去してゲート電極の形状に加工するとともに前記金属シリケート膜を露出させる工程と、前記金属シリケート膜を除去して前記下地材の表面を露出させる工程とを含み、前記金属シリケート膜を除去するに際し、酸化性酸またはその塩を含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法が提供される。
【0015】
従来技術の項で述べたように、ハフニウム等の高融点金属を含むhigh−k膜は、一般にエッチングが困難である。これに対し本発明は、こうした金属膜に代えて金属シリケート膜を成膜し、これを酸化性酸またはその塩を用いてエッチング除去することにより従来困難であったエッチング加工を容易にし、high−k膜を含む半導体装置を安定的に形成することを可能とする。金属シリケート膜は種々の方法により形成することができるが、成膜時にシリコン系ガスを用いてシリコンを導入する方法とすることが好ましい。こうすることにより充分な量のシリコンを膜中に導入することができ、上記薬液による除去が可能となる。薬液による除去は、たとえば、金属シリケート膜を溶解除去する形態とすることができる。金属シリケート膜中のシリコンとハフニウムのモル比率(Si/(Si+Hf))は、好ましくは5%以上、より好ましくは10%以上とする。こうすることにより、良好なエッチング特性が安定的に実現される。
【0016】
また、本発明によれば、金属シリケート膜を除去してシリコンを含む下地材を露出させる際、上記のように酸化性酸またはその塩を用いるため、下地材への損傷が最小限に抑制される。ここで、「シリコンを含む下地材」とは、シリコン基板や、シリコン基板表面に膜が形成され、その最表面がシリコン含有膜となっているものをいう。シリコン含有膜としては、シリコン酸化、シリコン窒化膜、シリコン酸窒化膜等が挙げられる。
【0017】
本発明の半導体装置の製造方法において、前記下地材にシャロートレンチ(STI)構造のシリコン酸化膜を含む素子分離膜が形成されており、前記金属シリケート膜を除去して前記下地材を露出させたとき、前記素子分離膜が露出する構成とすることができる。
【0018】
素子分離膜は、通常シリコン酸化膜により構成されるため、上記エッチャントにより溶解したり損傷が発生したりする。これに対し本発明は、酸化性酸またはその塩を用いるため、こうした素子分離膜への損傷は最小限に抑制される。
【0019】
また本発明によれば、シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を形成する工程と、前記金属シリケート膜を除去して前記下地材を露出させる工程とを含み、前記金属シリケート膜を除去するに際し、有機溶剤とフッ化水素酸またはその塩とを含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法が提供される。
【0020】
また本発明によれば、シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を含むゲート絶縁膜を形成する工程と、前記ゲート絶縁膜上にゲート電極膜を形成する工程と、前記ゲート電極膜を選択的に除去してゲート電極の形状に加工するとともに前記金属シリケート膜を露出させる工程と、前記金属シリケート膜を除去して前記下地材の表面を露出させる工程とを含み、前記金属シリケート膜を除去するに際し、有機溶剤とフッ化水素酸またはその塩とを含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法が提供される。
【0021】
これらの本発明によれば、有機溶剤とフッ化水素酸を組み合わせて用いた薬液により金属シリケート膜の除去を行っている。このため、薬液の取扱性が改善される。また、シリコン下地材料に損傷を与えることなくhigh−k膜を所望の形状にエッチングすることが可能となる。
【0022】
【発明の実施の形態】
本発明における薬液は、酸化性酸またはその塩を含む。この薬液は、純水を含んでいてもよい。こうすることにより、シリコンを含む下地材の薬液による損傷を、より効果的に抑制することができる。
【0023】
本発明において、酸化性酸またはその塩は、リン酸、硫酸、硝酸、過塩素酸、過沃素酸、過マンガン酸およびそれらの塩、硝酸セリウムアンモニウムからなる群から選択される一種または二種以上を含むことが好ましい。こうすることにより、金属シリケート膜を好適に除去することができる。また、シリコン下地材へ与える損傷を最小限に抑制することができる。
【0024】
上記酸としてリン酸を選択すると、金属シリケート膜のエッチングをより安定的に行うことができる。さらに、リン酸および硫酸の混合液とすることにより、下地となるシリコンの表面荒れを効果的に抑制できる。この点については実施例にて後述する。
【0025】
金属シリケート膜の除去の際、薬液の温度は、好ましくは200℃以下、より好ましくは180℃以下とする。下限は、たとえば40℃、より好ましくは60℃以上とする。こうすることにより、下地となるシリコンの表面荒れを抑制しつつ金属シリケート膜を安定的に除去することができる。
【0026】
本発明において、金属シリケート膜は種々のものを用いることができる。具体的には、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケートであるが、このうち、特にハフニウムを含む膜としたときに、本発明の効果がより顕著となる。こうした膜を本発明に係る薬液によりエッチングすると、安定的に効率よくエッチングを行うことができる。なお、上記金属シリケート膜は、窒素を含んでいても良い。
【0027】
以下、本発明の半導体装置の製造方法について、図1〜図2を参照して説明する。以下、トランジスタの製造方法を例に挙げて説明する。
【0028】
まず図1(a)に示すように、シリコン基板50上にシャロートレンチ構造の素子分離膜51を形成し、次いで熱酸化法によりシリコン酸化膜52(たとえば膜厚0.8nm)を形成し、その上にHfSiOxからなる高誘電率絶縁膜54(たとえば膜厚2.0nm)を形成し、その上にCVD法により多結晶シリコン層56(たとえば膜厚200nm)を形成する。素子分離膜51は、シリコン基板50に形成されたトレンチ内に、CVD−シリコン酸化膜が埋設された構造を有している。
【0029】
高誘電率絶縁膜54の成膜方法として、ここではMOCVD法を採用する。
【0030】
つづいて、図1(b)に示すように、多結晶シリコン層56上にレジスト膜を成膜し、エキシマレーザによるリソグラフィ技術を用いてレジスト層58を形成する。その後、図1(c)および図1(d)に示すように、レジスト層58をマスクとして、多結晶シリコン層56および高誘電率絶縁膜54をドライエッチングにより段階的に選択的に除去する。高誘電率絶縁膜54の途中までエッチングを行った後、SPM(硫酸−過酸化水素水)およびAPM(アンモニア−過酸化水素水)によりレジスト層58およびその残渣物を除去する(図2(a))。
【0031】
つづいて、多結晶シリコン層56をマスクとして、高誘電率絶縁膜54の残りとシリコン酸化膜52をウェットエッチングにより選択的に除去する(図2(b)および図2(c))。これにより、シリコン基板50の表面が露出する。エッチング液としては、リン酸および硫酸の混合液を用いる。エッチング液の温度は、好ましくは200℃以下、より好ましくは180℃以下とする。下限は、たとえば40℃、より好ましくは60℃以上とする。
【0032】
この後、シリコン基板50表面をリンスする。本実施形態では、純水または温水を用いた第一のリンス工程の後、イソプロピルアルコールを用いた第二のリンス工程を行う。これにより、シリコン基板50表面に残存する水分を除去することができ、シリコン基板50表面にウォーターマークが形成されるのを防ぐことができる。
【0033】
つづいて、公知の技術によりエクステンション領域形成のためのイオン注入を行った後、サイドウォール64を形成し、その後、シリコン基板50表面にイオン注入を行う。これにより、多結晶シリコン層56を含むゲート電極の両側に不純物領域62が形成される(図2(d))。つづいて、シリコン基板50全面に金属層を形成し(不図示)、この金属層の多結晶シリコン層56および不純物領域62と接する部分をシリサイド化させた後、その他の部分の金属層を除去してゲート電極、ソース、ドレイン領域に金属シリサイド層を形成する(不図示)。
なお、ゲート電極としては多結晶シリコン層56にかえて、ポリSiGe層を用いることもできる。
【0034】
本実施形態によれば、ハフニウム酸化膜にシリコンを導入してシリケート膜とした上で、リン酸をエッチング液として用い、さらにエッチング液の温度を好適に選択したため、ハフニウム系のゲート絶縁膜を容易にエッチング除去し、その際の基板表面への損傷を最小限に抑えることができる。従来用いられていたフッ酸等をエッチング液に用いたプロセスでは、図2(c)の工程でシリコン基板50の表面が損傷する。また、図3に示すように、素子分離膜51がエッチングに溶解し、リーク電流の増大を招く等の弊害が生じることもあった。本実施形態では、このような問題を解決することができる。また、本実施形態によれば、ゲート電極を構成する多結晶シリコン層56の表面の損傷を効果的に抑制でき、この点からも素子の信頼性を向上させることができる。
【0035】
また、シリコン基板50表面にイオン注入を行う際にシリコン基板50表面に水分が残存していると、ウォーターマークが形成され、イオン注入の条件が不均一になってしまうところ、本実施形態の方法によれば、このような問題を解決できる。
【0036】
なお、本実施形態では図2(b)〜図2(c)のウエットエッチングによりシリコン基板50を露出させたが、図2(b)の段階で止め、ゲート電極直下以外の領域にシリコン酸化膜52を残存させてもよい。
【0037】
また、本実施形態では、図1(d)のように高誘電率絶縁膜54の途中までドライエッチングした後、高誘電率絶縁膜54の残りとシリコン酸化膜52をウェットエッチングにより選択的に除去したが(図2(b)および図2(c))、ドライエッチングを用いずにウエットエッチングのみにより高誘電率絶縁膜54をエッチングしてもよい。
【0038】
以上、本発明を実施の形態をもとに説明した。この実施の形態は例示であり、様々な変形例が可能なこと、またそうした変形例も本発明の範囲にあることは当業者に理解されるところである。
【0039】
たとえば、上記実施形態では、高誘電率絶縁膜54をMOCVD法により形成したが、これ以外の方法を用いて成膜することもできる。たとえば、固層拡散法を用いることができる。ハフニウムシリケートの成膜を例に挙げて説明すると、まず、シリコン酸化膜の表面に接してPVD法でハフニウム膜を成膜する。成膜後、酸素アニールを行うことにより、ハフニウムシリケート(HfSiOx)を形成することができる。なお、窒素を含むシリケート膜を形成する場合は、下地にシリコン酸窒化膜を用いたり、アニール雰囲気に窒素を導入する等の方法を用いることができる。
また、高誘電率絶縁膜54は、ハフニウム含有シリケートに限らず、La、ZrおよびAlからなる群から選択される金属のシリケート膜としてもよい。
【0040】
また、高誘電率絶縁膜54の成膜後、その上部にシリコン窒化膜を形成したり、高誘電率絶縁膜54の上部を窒化処理してもよい。こうすることにより、ゲート絶縁膜中の漏れ電流を効果的に低減することができる。窒化処理を行うには、たとえば高誘電率絶縁膜54を形成した後、NO、NHのような窒素含有化合物を用いたプラズマ処理を行う。この窒化処理では、リモートプラズマを利用することが好ましい。リモートプラズマによる処理装置では、基板の配置された処理室と異なる場所に、ガス導入口、導波管、マイクロ波印加手段を備えたプラズマ発生室を設け、ここで発生したプラズマを、石英管を経由させ、基板の配置された室に導く。この室内で、基板表面のプラズマ処理を行う。こうした方式を採用することにより、基板へ与える損傷を抑制しつつ充分な窒化処理を行うことができる。なお、このように表面に窒化処理層がある場合でも、本発明に係る酸化性酸等をまたはその塩を含む薬液を用いたウエットエッチングは有効である。
【0041】
また、上記実施形態では、図2(c)の工程でシリコン酸化膜52を完全に除去したが、この膜を全部または一部残してもよい。図4はこうした工程を示すものである。図4(a)〜図4(b)のように高誘電率絶縁膜54をエッチング除去した後、シリコン基板50表面にシリコン酸化膜52が残存した状態でイオン注入を行い、図4(c)に示すトランジスタを作製することもできる。
【0042】
上記実施の形態では、高誘電率絶縁膜54をリン酸系薬液を用いて除去したが、これ以外の薬液を用いることができる。たとえば、有機溶剤とフッ化水素酸またはその塩とを含む薬液を用いることができる。こうすることで、薬液の取扱性が改善され、また、シリコン下地材料に損傷を与えることなくhigh−k膜を所望の形状にエッチングすることが可能となる。この場合、有機溶媒としては、取扱の容易性から高引火点のものが好ましく、たとえばジ−またはトリ−アルキレングリコールモノアルキルエーテル系を用いることができる。具体的には、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、トリエチレングリコールモノメチルエーテル、トリプロピレングリコールモノメチル、トリプロピレングリコールモノエチルエーテル等が挙げられる。また、ジ−またはトリ−アルキレングリコールモノアルキルエーテル系に代えて、N−メチルピロリドン (NMP)、炭酸プロピレン、ジメチルスルホキシド(DMSO)、ブチロラクタン、ジメチルアセトアミド、ジヒドロフルフリルアルコール(THFA)等が挙げられ、これらを単独または2種以上を混合して用いることができる。
また、本実施形態では、純水または温水を用いた第一のリンス工程の後、イソプロピルアルコールを用いた第二のリンス工程を行ったが、これに限られず、種々のリンス方法を採用することが可能である。たとえば、純水または温水を用いたリンスのみとすることもできる。また、アンモニアを溶解させた純水またはアンモニアおよび水素を溶解させた純水等によりリンスを行うこともできる。この場合、これらのリンス液によるリンスのみとすることもできるし、その後、さらにイソプロピルアルコールを用いたリンス工程を実施しても良い。
【0043】
また、上記実施の形態ではトランジスタの形成を例に挙げて説明したが、容量素子の形成に適用することもできる。特に、下部電極としてドープドポリシリコンを用い、その上にhigh−k材料による容量膜および上部電極が積層した構造の容量を形成する場合、容量膜のエッチングが困難な上、エッチングの際の下地ポリシリコンへの損傷が問題となる。本発明に係る方法を適用すれば、こうした構造の容量を歩留まり良く安定的に製造することが可能となる。
【0044】
【実施例】
実施例1
単結晶シリコン基板の表面に、MOCVD法により、HfSiOを形成した。得られたHfSiOの厚みは4〜5nmであった。これを試料1とする。上記シリケート膜中、シリコンとハフニウムのモル比率(Si/(Si+Hf))は5%以上であった。
【0045】
比較として、単結晶シリコン基板を熱処理し、基板表面に熱酸化膜を形成した。得られたSiOの厚みは100nmであった。これを試料2とする。
【0046】
試料1および試料2について、以下の薬液でエッチングを行った。
(i)0.5%希フッ酸 室温
(ii)リン酸 160℃
(iii)リン酸および硫酸の混合液 160℃
なお、リン酸および硫酸は半導体用途で一般的に用いられる市販品を使用した。
【0047】
エッチング時間は1分間とした。各試料のエッチング速度およびこれらのエッチング速度比を測定した結果を図5に示す。DHFに比べ、リン酸を含む薬液を用いたエッチングでは、HfSiOのエッチング速度/SiOのエッチング速度で定義されるエッチング比を顕著に大きくできることが明らかになった。
【0048】
また、原子間力顕微鏡により、エッチング後のシリコン基板表面粗さを測定した。結果を図5に示す。リン酸に硫酸を添加することにより、表面粗さを抑制できることが明らかになった。なお、別の実験結果から、リン酸に硫酸を添加することにより、ゲート電極を構成する多結晶シリコン表面のラフネスも抑制されることが確認された。なお、DHFについては、充分な選択比が得られなかったため表面粗さの測定は行わなかった。
【0049】
次に、上記試料1および試料2について液温を変え、リン酸でエッチングを行い、そのエッチング速度を測定した。結果を図6に示す。測定した範囲内では、温度を低くするほど、エッチング速度/SiOのエッチング速度で定義されるエッチング比を顕著に大きくできることが明らかになった。なお、室温のリン酸では、試料1、2ともにエッチング速度が顕著に低下することが確認された。
【0050】
実施例2
実施例1と同様にして試料1および試料2を作製し、これらについて以下の薬液でエッチングを行った。エッチング温度は室温とした。上記シリケート膜中、シリコンとハフニウムのモル比率(Si/(Si+Hf))は5%以上であった。
(i)フッ化水素酸、ブチルジグリコール(ジエチレングリコールモノブチルエーテル;以下BDGと略記)、純水を含む溶液
フッ化水素酸と純水の混合比は1:1。
(ii)希釈フッ化水素酸
【0051】
エッチング時間は1分間とした。各試料のエッチング速度およびこれらのエッチング速度比を測定した結果を図7に示す。図中、「HF(10%)」とあるのは、50%HF水溶液(フッ化水素酸と純水の混合比は1:1)を、エッチング液全体に対して10%の濃度となるように調製したという意味である。また、「DHF(10%)」は、10%HF水溶液を意味する。
DHFに比べ、フッ化水素酸のブチルジグリコール溶液を用いたエッチングでは、HfSiOのエッチング速度/SiOのエッチング速度で定義されるエッチング比を大きくできることが明らかになった。また、フッ化水素酸のブチルジグリコール溶液を用いた系を用いた場合も、エッチング後のシリコン基板表面粗さも低減されることが明らかになった。
【0052】
本実施例においてBDGを用いたエッチング液により良好なエッチング特性が得られた理由は必ずしも明らかではないが、以下のように推察される。HF水溶液中には、HFとHF の成分が存在し、膜のエッチング速度はこれらの成分の比に依存する。BDG等の有機溶媒をHF水溶液に添加すると、シリコン熱酸化膜のエッチングに寄与するHF の濃度が低減する。このため、シリコン熱酸化膜(SiO)のエッチング速度が低下し、HfSiOのエッチング速度/SiOのエッチング速度で定義されるエッチング比が向上したものと推察される。
【0053】
比較例
実施例2と同様のエッチング液を用い、エッチング対象をハフニウム酸化膜として評価を行った。本比較例では、単結晶シリコン基板の表面に、MOCVD法により、膜厚4〜5nmのHfOを形成した。この膜に対し、以下の薬液でエッチングを行った。エッチング温度は室温とした。
(i)フッ化水素酸、ブチルジグリコール(ジエチレングリコールモノブチルエーテル;以下BDGと略記)、純水を含む溶液
フッ化水素酸と純水の混合比は1:1。
(ii)希釈フッ化水素酸
【0054】
エッチング時間は1分間とした。各試料のエッチング速度およびこれらのエッチング速度比を測定した結果を図8に示す。図中、「HF(10%)」とあるのは、50%HF水溶液(フッ化水素酸と純水の混合比は1:1)を、エッチング液全体に対して10%の濃度となるように調製したという意味である。また、「DHF(10%)」は、10%HF水溶液を意味する。
上記(i)のエッチング液は、ハフニウム酸化膜を充分にエッチングできないことが明らかになった。
【0055】
実施例2および比較例の結果から、フッ化水素酸、ブチルジグリコール、純水を含むエッチング液は、エッチング対象の膜の種類によってエッチング能力が大きく相違し、ハフニウムシリケートのエッチングに用いた場合に特異的に優れたエッチング能を発揮することが明らかになった。
【0056】
【発明の効果】
本発明によれば、シリコン下地材料に損傷を与えることなくhigh−k膜を所望の形状にエッチングすることができ、high−k膜を構成要素として含む半導体装置を安定的に形成することができる。
【図面の簡単な説明】
【図1】実施の形態に係る半導体装置の製造方法を示す工程図である。
【図2】実施の形態に係る半導体装置の製造方法を示す工程図である。
【図3】従来の半導体装置の製造方法を示す工程図である。
【図4】実施の形態に係る半導体装置の製造方法を示す工程図である。
【図5】実施例における評価結果を示す図である。
【図6】実施例における評価結果を示す図である。
【図7】実施例における評価結果を示す図である。
【図8】実施例における評価結果を示す図である。
【符号の説明】
50 シリコン基板
51 素子分離膜
52 シリコン酸化膜
54 高誘電率絶縁膜
56 多結晶シリコン層
58 レジスト層
60 ゲート絶縁膜
62 不純物領域
64 サイドウォール

Claims (10)

  1. シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を形成する工程と、
    前記金属シリケート膜を除去して前記下地材を露出させる工程とを含み、
    前記金属シリケート膜を除去するに際し、酸化性酸またはその塩を含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法。
  2. シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を含むゲート絶縁膜を形成する工程と、
    前記ゲート絶縁膜上にゲート電極膜を形成する工程と、
    前記ゲート電極膜を選択的に除去してゲート電極の形状に加工するとともに前記金属シリケート膜を露出させる工程と、
    前記金属シリケート膜を除去して前記下地材の表面を露出させる工程とを含み、
    前記金属シリケート膜を除去するに際し、酸化性酸またはその塩を含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法。
  3. 請求項1または2に記載の半導体装置の製造方法において、前記下地材にシャロートレンチ構造のシリコン酸化膜を含む素子分離膜が形成されており、
    前記金属シリケート膜を除去して前記下地材を露出させたとき、前記素子分離膜が露出することを特徴とする半導体装置の製造方法。
  4. 請求項1乃至3いずれかに記載の半導体装置の製造方法において、
    前記酸化性酸またはその塩は、リン酸、硫酸、硝酸、過塩素酸、過マンガン酸およびそれらの塩、硝酸セリウムアンモニウムからなる群から選択される一種または二種以上を含むことを特徴とする半導体装置の製造方法。
  5. 請求項1乃至4いずれかに記載の半導体装置の製造方法において、前記薬液は純水を含むことを特徴とする半導体装置の製造方法。
  6. 請求項1乃至5いずれかに記載の半導体装置の製造方法において、 前記酸化性酸は、リン酸を含むことを特徴とする半導体装置の製造方法。
  7. 請求項6に記載の半導体装置の製造方法において、
    前記酸化性酸は、さらに硫酸を含むことを特徴とする半導体装置の製造方法。
  8. 請求項1乃至7いずれかに記載の半導体装置の製造方法において、前記薬液の温度を40℃以上200℃以下として前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法。
  9. シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を形成する工程と、
    前記金属シリケート膜を除去して前記下地材を露出させる工程とを含み、
    前記金属シリケート膜を除去するに際し、有機溶剤とフッ化水素酸またはその塩とを含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法。
  10. シリコンを含む下地材上に、Hf、La、ZrおよびAlからなる群から選択される一または二以上の金属元素、シリコンおよび酸素を主要元素として含む金属シリケート膜を含むゲート絶縁膜を形成する工程と、
    前記ゲート絶縁膜上にゲート電極膜を形成する工程と、
    前記ゲート電極膜を選択的に除去してゲート電極の形状に加工するとともに前記金属シリケート膜を露出させる工程と、
    前記金属シリケート膜を除去して前記下地材の表面を露出させる工程とを含み、
    前記金属シリケート膜を除去するに際し、有機溶剤とフッ化水素酸またはその塩とを含む薬液を用いて前記金属シリケート膜を除去することを特徴とする半導体装置の製造方法。
JP2003163017A 2003-06-06 2003-06-06 半導体装置の製造方法 Expired - Fee Related JP4229762B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003163017A JP4229762B2 (ja) 2003-06-06 2003-06-06 半導体装置の製造方法
US10/854,306 US7192835B2 (en) 2003-06-06 2004-05-27 Method of forming a high-k film on a semiconductor device
CNB2006101321397A CN100527364C (zh) 2003-06-06 2004-06-04 用于制造半导体器件的方法
CNB2004100452819A CN1317743C (zh) 2003-06-06 2004-06-04 用于制造半导体器件的方法
US11/700,003 US7718532B2 (en) 2003-06-06 2007-01-31 Method of forming a high-k film on a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003163017A JP4229762B2 (ja) 2003-06-06 2003-06-06 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2004363502A true JP2004363502A (ja) 2004-12-24
JP4229762B2 JP4229762B2 (ja) 2009-02-25

Family

ID=33487562

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003163017A Expired - Fee Related JP4229762B2 (ja) 2003-06-06 2003-06-06 半導体装置の製造方法

Country Status (3)

Country Link
US (2) US7192835B2 (ja)
JP (1) JP4229762B2 (ja)
CN (2) CN100527364C (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008530769A (ja) * 2005-01-13 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高い誘電率のSiO2ゲート積層体上に熱的に安定したp型金属炭化物としてTiCを製造する方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005038897A (ja) * 2003-07-15 2005-02-10 Dainippon Screen Mfg Co Ltd 基板処理方法及びその装置
WO2006136194A1 (en) * 2005-06-24 2006-12-28 Telecom Italia S.P.A. Method for fabricating a turning mirror for optical devices
CN100424841C (zh) * 2005-10-12 2008-10-08 联华电子股份有限公司 制造半导体器件的方法及移除间隙壁的方法
JP5126930B2 (ja) * 2006-02-06 2013-01-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080315310A1 (en) * 2007-06-19 2008-12-25 Willy Rachmady High k dielectric materials integrated into multi-gate transistor structures
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
TWI529942B (zh) * 2009-03-27 2016-04-11 半導體能源研究所股份有限公司 半導體裝置
WO2010150134A2 (en) * 2009-06-25 2010-12-29 Lam Research Ag Method for treating a semiconductor wafer
JP5548224B2 (ja) * 2012-03-16 2014-07-16 富士フイルム株式会社 半導体基板製品の製造方法及びエッチング液
JP5548225B2 (ja) * 2012-03-16 2014-07-16 富士フイルム株式会社 半導体基板製品の製造方法及びエッチング液
US8993054B2 (en) * 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5343481A (en) * 1976-10-01 1978-04-19 Matsushita Electric Ind Co Ltd Mirror surface etching method of sapphire substrate crystal
JP2003257952A (ja) * 2002-03-04 2003-09-12 Kishimoto Sangyo Co Ltd 絶縁膜エッチング剤
JP2003332297A (ja) * 2002-05-10 2003-11-21 Daikin Ind Ltd エッチング液及びエッチング方法
WO2004025718A1 (ja) * 2002-09-13 2004-03-25 Daikin Industries, Ltd. エッチング液及びエッチング方法
JP2004311993A (ja) * 2003-03-26 2004-11-04 Mitsubishi Gas Chem Co Inc 高誘電率薄膜エッチング剤組成物

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE163950T1 (de) * 1993-07-29 1998-03-15 Procter & Gamble Oxidationsverfahren
US6465853B1 (en) 2001-05-08 2002-10-15 Motorola, Inc. Method for making semiconductor device
JP2002353443A (ja) * 2001-05-23 2002-12-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2003008004A (ja) 2001-06-22 2003-01-10 Fujitsu Ltd 半導体装置及びその製造方法
US6511872B1 (en) * 2001-07-10 2003-01-28 Agere Systems Inc. Device having a high dielectric constant material and a method of manufacture thereof
JP4010819B2 (ja) * 2002-02-04 2007-11-21 Necエレクトロニクス株式会社 半導体装置の製造方法
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US6818553B1 (en) * 2002-05-15 2004-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process for high-k gate dielectrics
US6835667B2 (en) * 2002-06-14 2004-12-28 Fsi International, Inc. Method for etching high-k films in solutions comprising dilute fluoride species
JP2004025718A (ja) * 2002-06-27 2004-01-29 Canon Inc 画像形成装置
US7030024B2 (en) * 2002-08-23 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
WO2004075277A1 (ja) 2003-02-20 2004-09-02 Matsushita Electric Industrial Co., Ltd. エッチング方法、エッチング装置及び半導体装置の製造方法
US20040188385A1 (en) * 2003-03-26 2004-09-30 Kenji Yamada Etching agent composition for thin films having high permittivity and process for etching
US7037849B2 (en) * 2003-06-27 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Process for patterning high-k dielectric material

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5343481A (en) * 1976-10-01 1978-04-19 Matsushita Electric Ind Co Ltd Mirror surface etching method of sapphire substrate crystal
JP2003257952A (ja) * 2002-03-04 2003-09-12 Kishimoto Sangyo Co Ltd 絶縁膜エッチング剤
JP2003332297A (ja) * 2002-05-10 2003-11-21 Daikin Ind Ltd エッチング液及びエッチング方法
WO2004025718A1 (ja) * 2002-09-13 2004-03-25 Daikin Industries, Ltd. エッチング液及びエッチング方法
JP2004311993A (ja) * 2003-03-26 2004-11-04 Mitsubishi Gas Chem Co Inc 高誘電率薄膜エッチング剤組成物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008530769A (ja) * 2005-01-13 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高い誘電率のSiO2ゲート積層体上に熱的に安定したp型金属炭化物としてTiCを製造する方法

Also Published As

Publication number Publication date
US7718532B2 (en) 2010-05-18
CN1317743C (zh) 2007-05-23
CN1975991A (zh) 2007-06-06
US20040248350A1 (en) 2004-12-09
CN1574240A (zh) 2005-02-02
US7192835B2 (en) 2007-03-20
JP4229762B2 (ja) 2009-02-25
CN100527364C (zh) 2009-08-12
US20080081445A1 (en) 2008-04-03

Similar Documents

Publication Publication Date Title
US7718532B2 (en) Method of forming a high-k film on a semiconductor device
US7402530B2 (en) Method for manufacturing semiconductor device and semiconductor device
JP4598639B2 (ja) 半導体装置の製造方法
EP1923910B1 (en) Selective removal of rare earth comprising materials in a semiconductor device
KR100957820B1 (ko) 핀형 전계 효과 트랜지스터의 제조 방법
JP5853953B2 (ja) トランジスタの製造方法
TW201013773A (en) Method for photoresist pattern removal
CN108807377B (zh) 半导体器件及其形成方法
TWI316737B (en) Method for manufacturting gate electrode for use in semiconductor device
JP4358556B2 (ja) 半導体装置の製造方法
CN105990234B (zh) 半导体器件的形成方法
JP4699719B2 (ja) High−k物質を選択的に除去する方法
JP4283017B2 (ja) 半導体装置の製造方法
TW200522172A (en) Novel multi-gate formation procedure for gate oxide quality improvement
JP4817677B2 (ja) 半導体素子の製造方法
JP2002217414A (ja) 半導体装置およびその製造方法
JP4152271B2 (ja) 半導体装置の製造方法
JP4471986B2 (ja) 半導体装置の製造方法
TW200529326A (en) Offset spacer manufacturing for critical dimension precision
KR20080111819A (ko) 포토레지스트 제거방법 및 반도체 소자의 제조 방법
JP2005044889A (ja) 半導体装置の製造方法
JP2003297829A (ja) 半導体装置の製造方法及び半導体装置
KR20040001958A (ko) 세정 케미컬 및 그를 사용한 반도체 소자 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060512

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080122

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080819

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081017

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081111

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081202

R150 Certificate of patent or registration of utility model

Ref document number: 4229762

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131212

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees