JP2004006885A - 高周波型プラズマ強化化学気相堆積反応装置、及びそれを用いる方法 - Google Patents

高周波型プラズマ強化化学気相堆積反応装置、及びそれを用いる方法 Download PDF

Info

Publication number
JP2004006885A
JP2004006885A JP2003147766A JP2003147766A JP2004006885A JP 2004006885 A JP2004006885 A JP 2004006885A JP 2003147766 A JP2003147766 A JP 2003147766A JP 2003147766 A JP2003147766 A JP 2003147766A JP 2004006885 A JP2004006885 A JP 2004006885A
Authority
JP
Japan
Prior art keywords
frequency power
reactor
frequency
electrode
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003147766A
Other languages
English (en)
Other versions
JP2004006885A5 (ja
JP4217883B2 (ja
Inventor
Sujit Sharan
スジット シャラン
Gurtej S Sandhu
ガーテ エス. サンデュ
Paul Smith
ポール スミス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Micron Technology Inc
Original Assignee
Applied Materials Inc
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Micron Technology Inc filed Critical Applied Materials Inc
Publication of JP2004006885A publication Critical patent/JP2004006885A/ja
Publication of JP2004006885A5 publication Critical patent/JP2004006885A5/ja
Application granted granted Critical
Publication of JP4217883B2 publication Critical patent/JP4217883B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Details Of Rigid Or Semi-Rigid Containers (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

【課題】高周波型プラズマ強化化学気相堆積を行う反応装置及びそれを実施する方法を提供する。
【解決手段】PECVD反応器(10)は、内部に第1電極(16)を備える処理室12を含む。第2電極(18)も室の内部に設けられ、処理のため少なくとも一つの半導体ワークピースを支持するように構成される。第1高周波パワーソース(26)は第1周波数の高周波パワーを第1電極に送る。第2高周波パワーソース(32)は第2周波数の高周波パワーを第2電極に送る。好適には第1及び第2周波数は互いに異なり、さらに好ましくは、第1周波数は第2周波数より周波数が高い。好適な反応器は、一方の電極に関する温度情報を提供する熱電対(28)を含む。好適実施例においては、第1高周波パワーソースによって発生したパワーループが、接地点で接地され、熱電対を含む他の反応器構成部品と干渉するのを除去あるいは少なくとも減少するようになっている。
【選択図】     図1

Description

【0001】
【発明の属する技術分野】
本発明は高周波型プラズマ強化化学気相堆積反応装置及びプラズマ強化化学気相堆積を行う方法に関する。
【0002】
【従来の技術】
半導体処理過程には、しばしば、その上に既に他の層が形成されていたり、いなかかったりする半導体基板表面の上方又はその直上に、膜又は層を堆積する過程が含まれる。そのような膜又は層を堆積する方法の一つは、化学気相堆積法(CVD)により行われる。CVDは、基板又は基板表面上に堆積されるべき所望の成分を含有する気相化学物質又は反応物の化学反応を含むものである。反応性ガスは、反応室又は反応装置内に導入され、分解され、そして所望の膜又は層を形成するように、加熱された表面のところで反応する。
【0003】
所望の膜又は層を形成するのに、現在ありまた利用されているCVD処理方法には、大きく分けて三つのものがある。すなわち、常圧CVD(APCVD),減圧CVD(LPCVD)及びプラズマ強化CVD(PECVD)である。最初の二つの処理方法(APCVD及びLPCVD)は、その圧力管理に特徴があり、そして所望の化学反応が起こるために、入力エネルギーとして典型的には温度エネルギーを利用するものである。一番最後の処理方法(PECVD)は、圧力管理とエネルギー入力の方法に特徴があるものである。
【0004】
PECVD装置においては、化学反応を開始し維持するために、温度エネルギーに依存するのではなく、むしろ高周波誘導グロー放電が、エネルギーを反応性ガスに伝達するのに用いられる。そうすることにより、APCVD及びLPCVD装置の場合よりも、基板の温度をより低く維持することができる。基板の温度が低いことはある場合において好ましい。なぜならば、基板によっては、他の方法による被覆を許容する温度安定性を有していないからである。他の望ましい特徴としては、堆積速度が加速されること、特徴ある成分及び特性を備えた膜又は層が形成されることである。さらに、PECVD方法及び装置は、良好な付着性、低いピンホール密度、良好な段差被覆性、適当な電気的特性、及び微細ラインパターン転写処理との適合性などの利点をもたらすものである。
【0005】
しかしながら、PECVD処理方法を含む堆積処理方法に関係した問題の一つに、特に、高いアスペクト比形状をもたらすことにもなる不均一な膜又は層の被覆性の問題がある。例えば、堆積処理過程において、“ブレッドローフィング(bread−loafing)”又は尖った部分が典型的には形成される。通常、そのようなものは、好ましくない不均一な堆積物質の集まりからなり、基板上の主要要素間のキーホールスペースのように見えるものを形成する。従来技術による一つの解決方法は、極めて薄い層を、途中にプラズマエッチング処理を挟んで、多重堆積することであった。途中に挟まれるプラズマエッチングは、より均一に被覆された層を形成するために、尖った部分を除去又は切除するように機能する。その後、堆積とエッチングが、所定の被覆性が達成されるまで繰り返し行われる。PECVD処理方法及び反応装置において、膜又は層の堆積の質を改善することが望ましい。
【0006】
PECVD反応装置に関連した他の問題は、所定のプラズマ環境を生じさせるのに用いられる高い周波数の高周波パワーを使用することにより生じる問題である。そのような高い周波数の高周波パワーは、典型的には、他の反応装置部品と好ましくない態様で干渉し易い高周波数パワーループを生じさせるものである。例えば、しばしば、処理中に対象とする電極温度を監視するために、一つ又はそれ以上の電極との間に熱電対リンクを設けることがある。高い周波数のループは、熱電対リンクによる読みをしばしば不正確なものとする。したがって、PECVD反応装置で高い周波数の高周波パワーが使用される方法を改善することが望ましい。
【0007】
本発明は、PECVD処理装置及び処理方法の改善に関して案出されたものである。また、本発明は、先に述べた利点及び特徴を含むPECVD装置に関連した利点及び特徴を更に改善するために案出されたものである。
【0008】
【発明の実施の形態】
以下、本発明の実施の形態を図示例と共に説明する。図1を参照すると、プラズマ強化化学気相堆積(PECVD)反応装置あるいは反応器が一般的に参照番号10で示される。反応器10は、発明に従って処理が行われる処理室を画定する内側面14を有する室12を含む。本発明の一つの好ましい実施例においては、内側面14の少なくとも一部は接地されている。室12は第1電極16及び第2電極18を含む。好適には、反応器10は、第1電極及び第2電極の両方が室12内に配置され、あるいは位置する平行平板型反応器である。図示の好適実施例においては、第1電極16は、ガス状反応物を処理室に供給するように構成されたシャワーヘッド電極からなり、第2電極18は、例えば、ウェーハWのような半導体ワークピースの少なくとも一つを支持するように構成されている。本明細書において第2電極との関連において用いる用語“支持する”は、化学気相堆積が行われるような望ましい配向で一つまたはそれ以上の半導体ワークピースを保持あるいは位置決めすることを意味する。従って、半導体ワークピースは、図示の水平方向の位置にだけでなく、他の配向で保持あるいは位置決めされることが可能である。なお、発明は、単に二つの電極を含むシステムを背景として説明されるが、発明の反応装置及び方法は単に二つの電極を含むシステムへの使用に限られるものではない。
【0009】
ガス供給装置20は、反応器10と作動的に連結されており、ガス状反応物を電極16及び室12の内部に供給する複数個のガス供給源22を含む。パワー/温度制御装置は一般的に参照番号24によって示される。装置24の構成要素は個々の装置から構成されていてもよく、単一の制御装置内にそれらが含まれてもよい。ここでは、それらの構成要素は、第1高周波(RF)パワーソース26、熱電対あるいは温度センサー28、及び第2高周波パワーソース32を含む。第1高周波パワーソース26は室12に作動的に連結され、且つ第1導電線27を介して第1電極16に接続されて第1周波数の高周波パワーを送る。第1周波数は好ましくは2−50MHzの間の範囲の高周波数である。パワーソース26は、操作中、第1あるいは高周波パワーループを発生する。このループの一部は室に延びあるいは室を通過し、好ましい処理が行われるプラズマ環境を作ることを確実にする。具体的には、パワーソース26は、線27から、電極16を通って、電極16及び18の間のギャップを横切って、電極18を通って流れる高周波パワーループを発生する。典型的に、過去においては、高周波ループは、他の構成要素の接続線と共有する開口13のような開口から抜け出る線を通って接地されていた。そのような他の線は通常は絶縁されているが、それでも高周波線は望ましくない干渉及びクロストーク状態を起こしていた。
【0010】
熱電対28は、開口あるいは管路13を通って室12に延びる熱電対線あるいは熱センサー線30を介して第2電極18と作動的に接続される。熱電対あるいは温度センサーは、第2電極に関連する温度情報を提供するように構成されている。この構成は、電極18の温度がモニターされること、及び半導体の処理の過程において図示されない装置によって従来通りにそれが制御されることを可能とする。開口あるいは管路13は反応器の内側から反応器の外側への路を画定する。
【0011】
パワー/温度制御装置24は第2高周波パワーソース32も含む。これは、室12と連結されており、第2電極18と作動的に接続されて、第2周波数の高周波パワーを発生する。第2周波数は好ましくは第1パワーソース26から発せられる周波数より低い。好ましくは、パワーソース32の周波数の範囲は100−1000kHzである。従って、高周波パワーソース26から送られあるいは発生した周波数は、好適には、第2あるいは低い周波数のパワーソース32から送られあるいは発生した周波数より高いことを特徴とする。図示の好適実施例においては、低い周波数のパワーソース32は、開口あるいは管路13を通って延び第2電極18と接続する高周波パワー線、低い周波数のパワー線あるいは第2導電線34によって第2電極18に接続される。
【0012】
上記は従来の反応器に比較して新規なものであり、従来の反応器を使用して堆積した膜あるいは層より改善された特性の膜あるいは層の堆積を提供することができるようにPECVD(プラズマ強化化学気相堆積法)を実施可能とするものである。例えば、電極を好ましいパワー差にすることは、イオンあるいはイオンスピーシーズの、対象ワークピースあるいはウエーハへの加速を促進して、整合性のある被覆、特に高いアスペクト比の形状において整合性を向上することができる。さらに、本発明は、膜あるいは層組成をより均一にし、また膜あるいは層の純度を高めることを可能とする。好適実施例においては、第1及び第2電極だけがパワーを供給できる電極を構成する。
【0013】
さらに図1を参照すると、室の内側14は、図示の通り、少なくとも一部が接地された側壁を含む。これは、好ましくは、高周波パワーソースで発生された高周波パワーループを室の内部で接地することを可能とする。これは、そこで発生した高周波エネルギーと熱電対接続線30あるいは低い周波数のパワー線34の何れかとの間での干渉を低減できる。これに代えて、図2は室12の断片的部分を示す。これによれば、高周波パワーループは室の外側で接地することが可能である。従って、接地路は反応器の側壁を通してその外部の点まで延びるように設けられている。
【0014】
図1の実施例においては、高周波パワーループの接地点は、導電線34及び熱電対接続線30から離れた位置に設けられている。接地点は、室の内側にあり、室の内側に接地された部分を有することが好ましい。好適実施例においては、接地路が設けられ、それが接地点に導かれ部分的に接地点を形成する。これは、一般的に参照番号36で図示される。図示の好適実施例においては、接地路36は、室の内側に配置される低い周波数のパワー線の一部を含む。従って、接地路は、接地されて、高周波パワーループが反応器の内側の点から低い周波数の線を通って接地されることができる機構を提供する。実施例においては、接地路36は、高周波パワーソース26が発生するような高周波のみが通過する構成になっているバイパスあるいはバンドパスフィルタ38を含む。従って、これは、低い周波数のパワーソース32あるいはそれによって発生されるパワーの作用に影響することなく高周波ループが接地できる機構を提供する。
【0015】
代わりに、上述のように高周波パワーループの他の接地方法を利用することもできる。例えば、高周波パワーループは、接地された室の内部の部分に直接接続された適当な接地線を通して室の内部部分に接地されてもよい。このように高周波パワーループを接地することによって、パワーソース26によって発生された高周波と熱電対30との間の干渉に関連する望ましくない影響を避けることができる。さらに、高周波ループは、パワーソース26から離れた点あるいは位置であって、図2に示すように、室の外側に接地することが可能である。従って、熱電対28は、第2電極あるいはサセプタ電極18に関してより正確な温度情報を提供し、低い周波数の線及び高周波線の間のクロストークを除去、あるいは除去できない場合でも、望ましい程度に減少することができる。
【0016】
好ましい平行平板型PECVD反応器について、図1〜3を参照して説明する。好ましい半導体の処理方法が図3において一般的に参照番号100で示されるフローチャートによって図示される。ステップ110において、ウエーハW(図1)のような半導体ワークピースは、室10内で、図示のように、好ましくは第2電極あるいはサセプタ電極18の上に置かれる。サセプタ電極は、後に続く処理のためにワークピースを室の内部で支持する。上述の通り、ワークピースあるいはウエーハは他の方法で支持することも可能である。ステップ112において、ガス状の反応物は好ましい実施例ではシャワーヘッド電極16によって導入される。ステップ114では、反応物は、半導体ワークピースの上に反応物生成物の堆積を得るに充分な程度に少なくとも二つの異なる高周波パワー周波数に晒される。好適実施例においては、第1周波数の高周波パワーは、高周波数の高周波パワーソース26からシャワーヘッド電極16に印加される。さらに、第2周波数の高周波パワーは、半導体ワークピースWを支持するサセプタ電極18に印加される。好適実施例において、ステップ116では、接地路が設けられ、この接地路は、第1高周波パワーソース26が発生する高周波パワーループのための接地点に導かれ且つその接地点を画定する。接地点は、好ましくは、他の構成要素の接続線から離れた位置とする。その位置は、例えば、接地レベルが維持される室の内部壁の部分でよい。あるいは、その位置は、室の外部面上、または室の外側の一点でもよい。
【0017】
発明の好適実施態様においては、接地路は、二つの周波数の内の高い周波数、即ち高周波パワーソース26によって発生された二つの周波数の内の高い周波数だけを通過させるように構成されたバイパスあるいはバンドパスフィルタ38を含む。フィルタは、共通の開口13を通って延びる熱電対線30及び高周波パワー線34との干渉を除去あるいは少なくとも減少するのに役立つように、高周波パワーソースを反応器の内部あるいは外部で都合よく接地されるように構成される。
【0018】
上述の反応器のデザイン及びPECVD処理方法が提供する利点の中には、高周波パワーループから生じる干渉で、他のシステムの構成要素との干渉を、高周波パワーループをこれらの構成要素から離れた位置で接地することによって除去あるいは少なくとも減少する利点がある。これによって、故障の可能性の少ないPECVDシステムを提供することができる。さらに、干渉に関する利点とは別に、発明は膜及び層のより優れた堆積を可能とする。これは、実施例においては、反応器電極の間にパワーあるいはパワー周波数差を生じさせることによって可能としている。即ち、平行平板型PECVD反応器におけるパワーあるいはパワー周波数差は、シャワーヘッド電極に高周波を、またサセプタ電極に低い周波数を適用することによって発生させることができる。こうすることによって得られる利点としては、優れた膜付着性、低いピンホール密度、特に高いアスペクト比形状における優れた段差被覆性、膜あるいは層の優れた電気特性等が挙げられる。さらに、エッチングが行われるPECVDシステムにおいては、微細ラインパターン転写処理との優れた適合性が得られる。他の利点は当業者に自明であろう。
【0019】
上記の説明は本発明の好適実施例を説明したに過ぎず、本願発明は、図面を参照して説明したその実施例に限定されるものではない。請求の範囲から逸脱しない範囲において適当な変更、改変を行い得るものである。
【図面の簡単な説明】
【図1】図1は本発明の好適実施例に従って高周波型プラズマ強化化学気相堆積を行う反応装置を示した図である。
【図2】図2は、図1に代わる接地構成を示す図1の反応器の断片的部分を示す図である。
【図3】図3は図1の実施例に関連して使用する好適処理方法を示すフローチャートである。
【符号の説明】
10  反応器
12  処理室
13  管路
14  内側面
16  シャワーヘッド電極
18  サセプタ電極
20  ガス供給装置
22  ガス供給源
24  温度制御装置
26  高周波パワーソース
27  導電線
28  熱電対
30  熱センサー線
32  高周波パワーソース
34  高周波パワー線
36  接地路
38  バンドパスフィルタ

Claims (36)

  1. プラズマ強化化学気相堆積(PECVD)反応装置であって、該装置は、
    処理室と、
    前記処理室内に設けられ、前記処理室に反応物を導入するように構成されたシャワーヘッド電極である第1電極と、
    前記処理室内に設けられ、処理のために少なくとも一つの半導体ワークピースを支持するように構成された第2電極であって、前記第1及び第2電極が平行平板型PECVD反応装置のそれぞれの平板を画定し、前記第1及び第2電極のみがパワーが印加される反応器電極を構成するようになっている第2電極と、
    前記処理室と連結され、第1周波数の高周波パワーを供給するように前記第1電極に作動的に接続され、第1高周波パワーループを提供する第1高周波パワーソースと、
    前記処理室と連結され、前記第1周波数とは異なる第2周波数の高周波パワーを供給するように前記第2電極に作動的に接続され、一部が前記処理室内に設けられたパワー線を介して前記第2電極に接続される第2高周波パワーソースと、
    前記処理室内の第1高周波パワーループのための接地路であり、該接地路が前記処理室の内側に配設されたパワー線の少なくとも一部を含み、前記接地路が更に前記第1高周波パワーソースによって発生した周波数のみを通過させるバンドパスフィルタを有し、前記第1高周波パワーループによる前記反応装置の構成要素及び前記パワー線への干渉を除去又は減少させる接地路と、
    を具備することを特徴とする化学気相堆積反応装置。
  2. 請求項1記載のプラズマ強化化学気相堆積反応装置において、供給された高周波パワーの前記第1周波数は供給された高周波パワーの前記第2周波数よりも高いことを特徴とする化学気相堆積反応装置。
  3. 請求項1記載のプラズマ強化化学気相堆積反応装置において、
    前記処理室は開口を有する処理室側壁を含み、
    前記第2高周波パワーソースは前記第1高周波パワーソースよりも低い周波数の高周波パワーを供給し、前記第2高周波パワーソースは処理室側壁の開口を通って延びる前記パワー線を経由して前記第2電極に接続される、
    ことを特徴とする化学気相堆積反応装置。
  4. 請求項1記載のプラズマ強化化学気相堆積反応装置において、
    前記処理室は少なくとも一部が接地された室内部を含み、
    前記第1高周波パワーソースは、前記第2高周波パワーソースによって供給されるパワーの周波数よりも高い周波数の高周波パワーを供給する、
    ことを特徴とする化学気相堆積反応装置。
  5. 請求項1記載のプラズマ強化化学気相堆積反応装置において、該装置は更に、
    前記第2電極と作動的に接続され、前記第2電極に関する温度情報を提供するように構成された温度センサーと、
    前記温度センサーと結合され、前記反応器の外側の所定点まで延びる温度センサー線と、
    を有することを特徴とする化学気相堆積反応装置。
  6. 請求項1記載のプラズマ強化化学気相堆積反応装置であって、該装置は更に、前記接地路と接続される接地点を前記処理室内に具備すること特徴とする化学気相堆積反応装置。
  7. 請求項1記載のプラズマ強化化学気相堆積反応装置であって、該装置は更に、前記接地路と接続される接地点を前記処理室外に具備すること特徴とする化学気相堆積反応装置。
  8. 請求項1記載のプラズマ強化化学気相堆積反応装置であって、該装置は更に、前記接地路と接続される接地点を前記処理室外面上に具備すること特徴とする化学気相堆積反応装置。
  9. 二重周波数プラズマ強化化学気相堆積反応装置であって、該装置は、
    少なくとも内部表面の一部が接地された処理室を画定する反応器内部面と、
    少なくとも一部が前記処理室の内部を通過する第1周波数パワーループを発生させるように構成された第1周波数高周波パワーソースと、
    前記第1周波数高周波パワーソースと作動的に連結され、それによりパワーが供給されるように構成された前記処理室内の第1電極であって、該第1電極は前記処理室に反応物を導入するように構成された第1電極と、
    前記第1周波数高周波パワーソースによって発生した周波数よりも低い周波数の高周波パワーを発生するように構成された第2周波数高周波パワーソースと、パワー線を介して前記第2周波数パワーソースによりパワーが供給されるように構成された前記処理室の内側にある第2電極と、
    前記パワー線の少なくとも一部を含み、前記反応器内部面の接地された部分に導かれ且つ該部分と作動的に接続される、第1周波数パワーループのための接地路であって、前記第1周波数パワーループによる前記パワー線及び前記反応装置の構成要素への干渉を除去又は減少させる接地路と、
    を具備することを特徴とする化学気相堆積反応装置。
  10. 請求項9記載の二重周波数プラズマ強化化学気相堆積反応装置において、前記接地路は、前記第1高周波パワーソースによって発生する周波数のみを通過させるように構成されたバンドパスフィルタを前記処理室内に有することを特徴とする化学気相堆積反応装置。
  11. 請求項9記載の二重周波数プラズマ強化化学気相堆積反応装置であって、該装置は更に、前記第2電極に関する温度情報を提供するように構成された温度センサ装置と、前記温度センサ装置に接続された温度センサ線とを有し、前記第1周波数パワーループによる前記温度センサ装置及び前記温度センサ線への干渉を除去又は減少させるようになっていることを特徴とする化学気相堆積反応装置。
  12. 請求項11記載の二重周波数プラズマ強化化学気相堆積反応装置において、前記温度センサ線は反応器の外側の点まで、開口を通して延び出ていることを特徴とする化学気相堆積反応装置。
  13. 請求項9記載の二重周波数プラズマ強化化学気相堆積反応装置であって、該装置は更に、前記接地路と接続される接地点を前記処理室内に具備すること特徴とする化学気相堆積反応装置。
  14. 請求項9記載の二重周波数プラズマ強化化学気相堆積反応装置であって、該装置は更に、前記接地路と接続される接地点を前記処理室外に具備すること特徴とする化学気相堆積反応装置。
  15. 請求項9記載の二重周波数プラズマ強化化学気相堆積反応装置であって、該装置は更に、前記接地路と接続される接地点を前記処理室外面上に具備すること特徴とする化学気相堆積反応装置。
  16. 二重周波数平行平板型プラズマ強化化学気相堆積反応装置であって、該装置は、
    反応器室と、
    第1周波数の高周波パワーを提供するように構成された第1高周波パワーソースと、
    前記反応器室の内側に設けられ、前記処理室内に反応物を導入するように構成されたシャワーヘッド電極である第1電極と、
    前記第1高周波パワーソースと前記第1電極とを接続する第1導電線と、
    少なくとも一部が前記反応器室を通過する、前記第1高周波パワーソースによって発生される第1高周波パワーループと、
    前記第1周波数とは異なる第2周波数の高周波パワーを提供するように構成された第2高周波パワーソースと、
    前記反応器室の内側の第2電極と、
    前記第2高周波パワーソースと前記第2電極とを接続する第2導電線と、
    前記第2導電線から離れて位置し、前記第1高周波パワーループのための接地を提供する接地点であって、前記接地点と前記第1高周波パワーソースとの間の接地路は、前記第1周波数タイプの周波数のみを通過させることにより前記第1高周波パワーループによる前記第2導電線又は前記反応装置の構成要素への干渉を除去又は減少させるように構成された一つだけのバンドパスフィルタを有する接地点と、
    を具備することを特徴とする化学気相堆積反応装置。
  17. 請求項16記載の二重周波数平行平板型プラズマ強化化学気相堆積反応装置において、該装置は更に、
    前記第2電極と作動的に接続され、前記第2電極に関する温度情報を提供するように構成された温度センサーと、
    前記温度センサーと結合され、前記反応器の外側の所定点まで延びる温度センサー線と、
    を有することを特徴とする化学気相堆積反応装置。
  18. 請求項16記載の二重周波数平行平板型プラズマ強化化学気相堆積反応装置において、前記第1周波数が前記第2周波数よりも高いことを特徴とする化学気相堆積反応装置。
  19. 請求項16記載の二重周波数平行平板型プラズマ強化化学気相堆積反応装置において、前記接地点は前記反応器室内に設けられることを特徴とする化学気相堆積反応装置。
  20. 請求項16記載の二重周波数平行平板型プラズマ強化化学気相堆積反応装置において、前記接地点は前記反応器室外に設けられることを特徴とする化学気相堆積反応装置。
  21. 請求項16記載の二重周波数平行平板型プラズマ強化化学気相堆積反応装置において、前記接地点は前記反応器外面上に設けられることを特徴とする化学気相堆積反応装置。
  22. 平行平板型反応器においてプラズマ強化化学気相堆積を行う半導体処理方法であって、該方法は、
    第1高周波パワーソースによって発生される第1周波数の高周波パワーを、反応器の内側のシャワーヘッド電極に印加する過程と、
    第2高周波パワーソースによって発生される第2周波数の高周波パワーを、反応器の内側の、少なくとも一つの半導体ワークピースを支持するように構成されたサセプタ電極に印加する過程と、
    前記第1高周波パワーソースのみの高周波パワーループを、前記反応器内の単一バンドパスフィルタを用いて接地点に接地し、前記第1高周波パワーループによる前記反応器の構成要素への干渉を除去又は減少させる過程と、
    からなることを特徴とする半導体処理方法。
  23. 請求項21記載の半導体処理方法において、前記第1周波数が前記第2周波数よりも高いことを特徴とする半導体処理方法。
  24. 請求項21記載の半導体処理方法において、前記第2高周波パワーソースが導電線によってサセプタ電極に接続され、前記接地過程が、前記導電線から離れた位置の接地点において前記反応器の内側で前記パワーループを接地することを含むことを特徴とする半導体処理方法。
  25. 請求項21記載の半導体処理方法において、
    前記反応器は接地された内部側壁部分を含み、前記接地過程は、前記接地された内部側壁部分と接続する、前記高周波パワーループのための接地路を提供することを含み、
    前記接地路が前記第1周波数タイプの周波数のみを通過させるように構成されたバンドパスフィルタを含む、
    ことを特徴とする半導体処理方法。
  26. 請求項21記載の半導体処理方法において、該方法は更に、
    反応器側壁の開口を通って延びる熱電対線を経由して熱電対を前記サセプタ電極と作動的に接続する過程と、
    前記反応器の側壁の開口を通って延びる導電線によって、前記第2高周波パワーソースを前記サセプタ電極に接続する過程と、
    前記接地過程が、前記開口から離れた位置の接地点において反応器の内側のパワーループを接地する過程と、
    からなることを特徴とする半導体処理方法。
  27. 請求項21記載の半導体処理方法において、前記接地点は前記反応器内に設けられることを特徴とする半導体処理方法。
  28. 請求項21記載の半導体処理方法において、前記接地点は前記反応器外に設けられることを特徴とする半導体処理方法。
  29. 請求項21記載の半導体処理方法において、前記接地点は前記反応器外面上に設けられることを特徴とする半導体処理方法。
  30. プラズマ強化化学気相堆積を行う半導体処理方法であって、該方法は、
    少なくとも一つの半導体ワークピースを平行平板型プラズマ強化化学気相堆積反応器の内部でサセプタ電極によって支持する過程と、
    シャワー電極を通して反応器に反応物を導入する過程と、
    前記反応物を、少なくとも二つの異なる高周波パワー周波数に、半導体ワークピースの上に反応生成物を堆積するのに充分な程度に晒す過程とからなり、該反応物を晒す過程が、
    前記二つの高周波パワー周波数のうち一方のみの高周波パワーループを接地点に接地する過程であって、該過程は、接地されるパワーループの周波数のみを通過させることにより前記高周波パワーループによる前記反応器の構成要素への干渉を除去又は減少させるように構成された単一のバンドパスフィルタを含む接地路を提供する過程と、
    を含むことを特徴とする半導体処理方法。
  31. 請求項30記載の半導体処理方法において、前記接地過程が、前記二つの周波数の内の高い周波数の高周波パワーループを接地することであることを特徴とする半導体処理方法。
  32. 請求項30記載の半導体処理方法において、
    前記二つの異なる高周波パワー周波数は二つの異なる高周波パワーソースによって発生され、第1の高周波パワーソースは第2の高周波パワーソースによって発生される高周波パワーよりも高い周波数の高周波パワーを発生し、前記第1高周波パワーソースは前記シャワーヘッドに結合され、また前記第1の高周波パワーソースは前記サセプタ電極に接続され、
    接地される高周波パワーループは、二つの周波数の内の高い方の周波数であり、
    前記接地過程は、二つの周波数のうちの高い方の周波数の高周波パワーループを接地する、
    ことであることを特徴とする半導体処理方法。
  33. 請求項30記載の半導体処理方法において、前記方法は更に、熱電対を前記サセプタ電極に作動的に結合する過程を有することを特徴とする半導体処理方法。
  34. 請求項30記載の半導体処理方法において、前記接地点は前記反応器内に設けられることを特徴とする半導体処理方法。
  35. 請求項30記載の半導体処理方法において、前記接地点は前記反応器外に設けられることを特徴とする半導体処理方法。
  36. 請求項30記載の半導体処理方法において、前記接地点は前記反応器外面上に設けられることを特徴とする半導体処理方法。
JP2003147766A 1998-02-19 2003-05-26 高周波型プラズマ強化化学気相堆積反応装置、及びそれを用いる方法 Expired - Fee Related JP4217883B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/026,566 US6112697A (en) 1998-02-19 1998-02-19 RF powered plasma enhanced chemical vapor deposition reactor and methods

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000532862A Division JP3822055B2 (ja) 1998-02-19 1999-02-16 高周波型プラズマ強化化学気相堆積反応装置、及びそれを実施する方法

Publications (3)

Publication Number Publication Date
JP2004006885A true JP2004006885A (ja) 2004-01-08
JP2004006885A5 JP2004006885A5 (ja) 2005-04-07
JP4217883B2 JP4217883B2 (ja) 2009-02-04

Family

ID=21832548

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000532862A Expired - Fee Related JP3822055B2 (ja) 1998-02-19 1999-02-16 高周波型プラズマ強化化学気相堆積反応装置、及びそれを実施する方法
JP2003147766A Expired - Fee Related JP4217883B2 (ja) 1998-02-19 2003-05-26 高周波型プラズマ強化化学気相堆積反応装置、及びそれを用いる方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000532862A Expired - Fee Related JP3822055B2 (ja) 1998-02-19 1999-02-16 高周波型プラズマ強化化学気相堆積反応装置、及びそれを実施する方法

Country Status (9)

Country Link
US (2) US6112697A (ja)
EP (1) EP1057207B1 (ja)
JP (2) JP3822055B2 (ja)
KR (1) KR100388529B1 (ja)
AT (1) ATE269586T1 (ja)
AU (1) AU3293999A (ja)
DE (1) DE69918063T2 (ja)
TW (1) TW523830B (ja)
WO (1) WO1999043017A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012059872A (ja) * 2010-09-08 2012-03-22 Hitachi High-Technologies Corp 熱処理装置

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2806324B1 (fr) * 2000-03-15 2002-09-27 Air Liquide Procede et dispositif de mise en oeuvre d'une reaction chimique et procede de traitement de surface utilisant de tels procede et dispositif
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US20040188240A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Process for in-situ nitridation of salicides
GB0309932D0 (en) 2003-04-30 2003-06-04 Boc Group Plc Apparatus and method for forming a plasma
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050118541A1 (en) * 2003-11-28 2005-06-02 Applied Materials, Inc. Maintenance of photoresist adhesion and activity on the surface of dielectric ARCS for 90 nm feature sizes
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
KR101415552B1 (ko) * 2009-12-21 2014-07-07 주식회사 미코 접지구조물, 이를 구비하는 히터 및 화학기상 증착장치
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US8618446B2 (en) * 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10332810B2 (en) 2016-10-24 2019-06-25 Kla-Tencor Corp. Process modules integrated into a metrology and/or inspection tool
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN110528018A (zh) * 2019-09-25 2019-12-03 宜兴市翔翮环保设备有限公司 一种二氧化氯消毒液制备装置

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5230931A (en) * 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
JP2629871B2 (ja) 1988-08-29 1997-07-16 スズキ株式会社 車両用変速機
JPH02213480A (ja) * 1989-02-14 1990-08-24 Nippon Light Metal Co Ltd 高周波プラズマ発生用アルミニウム電極
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP3016821B2 (ja) * 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
FR2663806A1 (fr) * 1990-06-25 1991-12-27 Commissariat Energie Atomique Reacteur a plasma du type triode, utilisable notamment pour la gravure, le depot ou le nettoyage de surfaces.
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
JPH05175163A (ja) * 1991-12-24 1993-07-13 Mitsubishi Electric Corp プラズマ処理装置
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
JP3122228B2 (ja) * 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3351843B2 (ja) * 1993-02-24 2002-12-03 忠弘 大見 成膜方法
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
EP0721514B1 (en) * 1994-05-13 1999-09-22 Applied Materials, Inc. Magnetically enhanced multiple capacitive plasma generation apparatus and related method
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
WO1996039794A1 (fr) 1995-06-05 1996-12-12 Tohoku Unicom Co., Ltd. Alimentation servant a une decharge par electrodes multiples
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
DE69619075T2 (de) * 1995-12-05 2002-10-02 Applied Materials Inc Plasmatempern von Dünnschichten
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012059872A (ja) * 2010-09-08 2012-03-22 Hitachi High-Technologies Corp 熱処理装置
US9271341B2 (en) 2010-09-08 2016-02-23 Hitachi High-Technologies Corporation Heat treatment apparatus that performs defect repair annealing

Also Published As

Publication number Publication date
EP1057207A1 (en) 2000-12-06
KR20010040831A (ko) 2001-05-15
JP3822055B2 (ja) 2006-09-13
AU3293999A (en) 1999-09-06
JP4217883B2 (ja) 2009-02-04
DE69918063T2 (de) 2005-06-30
DE69918063D1 (de) 2004-07-22
US6227141B1 (en) 2001-05-08
KR100388529B1 (ko) 2003-06-25
ATE269586T1 (de) 2004-07-15
US6112697A (en) 2000-09-05
WO1999043017A1 (en) 1999-08-26
EP1057207B1 (en) 2004-06-16
JP2002504748A (ja) 2002-02-12
TW523830B (en) 2003-03-11

Similar Documents

Publication Publication Date Title
JP4217883B2 (ja) 高周波型プラズマ強化化学気相堆積反応装置、及びそれを用いる方法
JP4485050B2 (ja) 基板処理システムおよび基板上に被膜を堆積させるための方法
JP3925566B2 (ja) 薄膜形成装置
JP4365528B2 (ja) 基板処理システムおよび基板上に被膜を堆積させるための方法
US6159867A (en) RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US20010042799A1 (en) Showerhead apparatus for radical-assisted deposition
JP2004006885A5 (ja)
JP2001525602A (ja) チューン可能なインピーダンスを有する基板処理室
US5491112A (en) Method and arrangement for treating silicon plates
US5948167A (en) Thin film deposition apparatus
KR100377096B1 (ko) 개선된 샤워헤드를 구비한 반도체 제조장치
JP4149051B2 (ja) 成膜装置
JPH0377655B2 (ja)
KR100457455B1 (ko) 박막 증착 속도를 조절하는 샤워헤드를 구비한 화학 기상증착 장치.
JPH1041251A (ja) Cvd装置およびcvd方法
KR101596329B1 (ko) Vhf를 이용한 pe-ald 장치 및 방법
JP2001345312A (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP2001073150A (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JPS62210623A (ja) 気相反応装置用電極
KR102038276B1 (ko) 배치 타입의 플라즈마 원자층 증착 장치
JPS6358226B2 (ja)
JP2001115266A (ja) プラズマプロセス装置
JPH03202467A (ja) 高周波プラズマ素材プロセッシング装置
CN108425102A (zh) 层间膜的沉积方法
JPS6124466B2 (ja)

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040531

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040531

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20060816

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070625

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070704

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070903

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20071023

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071023

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20071023

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071004

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071225

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20071225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081007

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081028

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees