JP2003519920A - ドライエッチャーの現場制御 - Google Patents

ドライエッチャーの現場制御

Info

Publication number
JP2003519920A
JP2003519920A JP2001550800A JP2001550800A JP2003519920A JP 2003519920 A JP2003519920 A JP 2003519920A JP 2001550800 A JP2001550800 A JP 2001550800A JP 2001550800 A JP2001550800 A JP 2001550800A JP 2003519920 A JP2003519920 A JP 2003519920A
Authority
JP
Japan
Prior art keywords
wafer
processing tool
processing
etching
current state
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001550800A
Other languages
English (en)
Other versions
JP2003519920A5 (ja
Inventor
ランスフォ−ド,ジェレミー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2003519920A publication Critical patent/JP2003519920A/ja
Publication of JP2003519920A5 publication Critical patent/JP2003519920A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 この方法はウェハの表面不均一性を測定するステップを含む。エッチング処理ツールの現在の状態が判断される。ウェハの表面不均一性が処理ツールの現在の状態と比較される。ウェハの表面不均一性と処理ツールの現在の状態との比較に基づいて、処理ツールの動作パラメータが調整される。このシステムは、処理ツール、複数の測定装置、および処理コントローラを含む。処理ツールはウェハのエッチング処理に適合している。複数の測定装置はウェハの表面不均一性を測定し、処理ツールの現在の状態を判断する。処理コントローラはウェハの表面不均一性と処理ツールの現在の状態とを比較し、その比較に基づいて処理ツールの動作パラメータを調整する。

Description

【発明の詳細な説明】
【0001】
【技術分野】
この発明は一般に半導体処理に関し、より特定的にはエッチング処理ツールの
フィードフォワード制御のための方法に関する。
【0002】
【背景技術】
製造業における技術の爆発的進展は、数多くの新しく革新的な製造方法をもた
らしてきた。今日の製造方法、特に半導体製造方法は、有用な半導体装置を生産
するため正確に実行されなければならない数多くの工程を必要とする。適切な製
造制御を維持するため、数々の入力が工程を微調整するために一般に用いられる
【0003】 半導体装置の製造は、半導体原材料からパッケージされた半導体装置を作り出
すために、数々の別個の処理工程を要する。半導体材料の初期成長から、半導体
結晶の個々のウェハへの切断、製造段階(エッチング、ドーピング、イオン注入
など)を経て、完成装置のパッケージングおよび最終検査に至るさまざまな工程
は、互いに著しく異なり、異なる制御方式を含む異なる製造場所でその工程がた
やすく実行できるというところまで特化されている。
【0004】 半導体装置の製造に影響を及ぼす要因に、ウェハごとのばらつき、製造装置ツ
ールの始動による影響、および製造チャンバのメモリへの影響が含まれる。この
ような要因により悪影響を受ける処理工程の1つに、半導体ウェハのエッチング
処理が挙げられる。
【0005】 エッチング処理は、ウェハの表面にさまざまな回路形状を作り出すために、フ
ォトリソグラフィ、堆積など他の半導体処理手法とともに用いられてもよいこと
は、当業者には理解されるであろう。一般に、エッチング処理はウェハの少なく
とも一部をエッチング剤に晒すステップを含む。たとえば、フォトレジストなど
選択的にパターン化された保護コーティングの使用によって、および1回または
それ以上のエッチング処理を行なうことによって、特徴がウェハの表面上に規定
されるであろう。保護コーティングはウェハの表面の一部をエッチング剤に晒す
ためにパターン化されている。晒された部分はエッチング処理中除去され、ウェ
ハの表面上に所望の回路形状を作り出す。
【0006】 図1はウェハ10の断面図を示す。この例示的な実施例では、ウェハ10は基
板12と、阻止層14と、エッチング層16とを含む。任意の数の処理変数の結
果、ウェハ10のエッチング層16が不均一となる場合がある(たとえば、その
厚さが層全体にわたって変動する、その表面が平坦でない、または波打っている
など)ことは、当業者には理解されるであろう。処理中、任意の数の表面トポグ
ラフィーがウェハに現われる場合もあるが、化学的機械的研磨作業がエッチング
層16の表面17に対して実行された後は、ウェハ10は一般に中心から端にか
けて不均一性を示す。たとえば、図1に示すように、エッチング層16の厚さは
ウェハ10の中心から外端に向けて徐々に増加しているかも知れない。これは通
常、中心が薄い不均一性と呼ばれる。エッチング層16の中心が薄い不均一性の
ために、エッチング処理の間、ウェハ10の中心に位置するエッチング層16の
部分は、ウェハ10の外端に位置するエッチング層16の部分よりも早く除去さ
れてしまうであろう。
【0007】 図2では、ウェハ10をタイミングのあったエッチング処理に選択的に晒すこ
とにより、溝18が形成され得る。エッチング処理の持続時間がとりわけエッチ
ング層16の厚さによって変化する場合があることは、当業者には理解されるで
あろう。一般に、エッチング処理の持続時間は、近似値に基づいた技術設計決定
であり、これはエッチング層16の不均一性、およびエッチング層16のウェハ
カバレッジ、エッチング処理の不均一性などその他の処理変数を適切に勘案して
いない場合もある。この実施例では、エッチング層16の中心が薄い不均一性の
ため、阻止層14の一部がエッチング処理中に除去されるおそれがある。エッチ
ング層16が完全に除去された後もエッチング処理が継続される程度は、オーバ
ーエッチングと呼ばれる。オーバーエッチングの量は、距離「x」により図示さ
れている。
【0008】 図3は、ウェハ20の別の断面図を示す。ウェハ20は、基板12と、阻止層
14と、エッチング層16とを含んでいてもよい。ここでも、製造中にさまざま
な表面トポグラフィーがウェハ20に現われる場合があるが、ウェハは一般に中
心から端にかけて不均一性を示す。この例示的な実施例では、エッチング層16
の厚さは、ウェハ20の中心から外端にかけて徐々に減少しているかも知れず、
これは通常、中心が厚い不均一性と呼ばれる。エッチング層16の中心が厚い不
均一性のため、エッチング処理中、ウェハ20の端に位置するエッチング層16
の部分は、ウェハ20の中心に位置するエッチング層16の部分より早く除去さ
れてしまうであろう。
【0009】 図4では、ウェハ20をタイミングのあったエッチング処理に選択的に晒すこ
とにより、溝18が形成され得る。ここでも、エッチング処理の持続時間は近似
値に基づいた技術設計決定であろう。この実施例では、エッチング層16の中心
が厚い不均一性のため、エッチング層16の一部が溝18から除去されないおそ
れがある。エッチング層16が完全には除去されない程度は、不完全エッチング
と呼ばれる。不完全エッチングの量は、距離「y」により図示されている。
【0010】 残念なことに、起こり得る不均一性およびその他の処理変数のため、さまざま
なエッチング処理に対し持続時間を判断することは極めて難しい。たとえば、エ
ッチング処理の持続時間は、ウェハの不均一性およびその他の処理変数を適切に
勘案したものでなければならない。そのような処理変数の1つに、エッチング処
理ツールのエッチング速度不均一性が含まれる。たとえば、エッチング処理中、
ウェハの表面全体にわたったエッチング速度が不均一である場合がある。一実施
例では、ウェハの表面不均一性(たとえば、中心が薄い、中心が厚いなど)のた
め、エッチング処理の不均一性がウェハの極度のオーバーエッチング、または不
完全エッチングをもたらす場合がある。たとえば、ウェハの表面トポグラフィー
が中心で薄くなっており、エッチング速度が中心で速くなっている場合、ウェハ
の中心はひどくオーバーエッチングされる場合がある。
【0011】 一実施例では、ウェハの中心が薄い表面不均一性に対し、ウェハの外端が十分
にエッチングされることを保証するため、ウェハの中心におけるある程度のオー
バーエッチングが必要となる場合がある。またこれに代えて、ウェハの中心が厚
い表面不均一性に対し、ウェハの中心が十分にエッチングされることを保証する
ため、ウェハの外端におけるある程度のオーバーエッチングが必要となる場合が
ある。
【0012】 一般に、ウェハを処理する際、オーバーエッチングおよび不完全エッチングを
最小限に抑えることが望ましい。たとえば、下にある層が通常、オーバーエッチ
ング中に薄くなり、生産歩留まりの減少をもたらす場合があるため、不必要にオ
ーバーエッチングすることは望ましくないであろう。また、ウェハの不完全エッ
チングも生産歩留まりの減少をもたらすであろう。
【0013】 この発明は、上述の問題の1つまたはそれ以上を克服し、または少なくともそ
の影響を低減させることを目的としている。
【0014】
【発明の開示】
この発明の1つの局面では、ある方法が提供される。この方法は、ウェハの表
面不均一性を測定するステップを含む。エッチング処理ツールの現在の状態が判
断される。ウェハの表面不均一性が処理ツールの現在の状態と比較される。処理
ツールの動作パラメータが、ウェハの表面不均一性と処理ツールの現在の状態と
の比較に基づいて調整される。
【0015】 この発明のもう1つの局面では、あるシステムが提供される。このシステムは
、処理ツールと、複数の測定装置と、処理コントローラとを含む。処理ツールは
ウェハのエッチング処理に適合している。複数の測定装置はウェハの表面不均一
性を測定し、処理ツールの現在の状態を判断する。処理コントローラはウェハの
表面不均一性と処理ツールの現在の状態とを比較し、この比較に基づき処理ツー
ルの動作パラメータを調整する。
【0016】 この発明は、添付図面とともに以下の説明を参照することにより、最もよく理
解されるであろう。図面では、同じ参照番号は同じ要素を示す。
【0017】 この発明はさまざまな変更および代替的形態の余地がある一方、その特定の実
施例が例として図面に示されており、ここに詳しく説明される。しかし、ここに
おける特定の実施例の説明は、開示された特定の形態にこの発明を限定する意図
はなく、逆に、この発明は、特許請求の範囲により定義されたこの発明の精神お
よび範囲内に収まる変更、同等物、および代替物をすべて包含するものであるこ
とが理解されるべきである。
【0018】
【発明を実行するための形態】
この発明の例示的な実施例を以下に説明する。わかりやすくするため、実際の
実施の特徴がすべてこの明細書で説明されているわけではない。そのような実際
の実施例の開発において、システムに関連した、および事業に関連した制約の遵
守といった開発者の特定の目標を達成するために、実施に特有の決定が多数下さ
れなければならず、これが実施により異なるであろうということは、もちろん理
解されるであろう。さらに、そのような開発努力は複雑で時間がかかる場合があ
るにもかかわらず、この開示の恩恵を有する当業者にとっては日常的な業務とな
るであろうということも、理解されるであろう。
【0019】 図4は、例示的な処理ツール50を示す。処理ツール50は、半導体ウェハ5
2を機能する半導体装置に製造する複雑な製造工程の一部として用いられるであ
ろう。処理ツール50は処理コントローラ54により制御されてもよく、これは
複数の制御信号を制御線56にのせて処理ツール50へ送ってもよい。処理コン
トローラ54はさまざまな装置を含み得る。たとえば、一実施例では、処理コン
トローラ54は、処理ツール50の内部に埋込まれたコントローラで、製造業者
により提供されたプロトコルおよびインターフェイスを用いて処理ツール50と
通信してもよい。またこれに代えて、別の実施例では、処理コントローラ50は
より大きなコントローラ網に接続され、先進的プロセス制御(APC)フレーム
ワークインターフェイスを通して処理ツール50と通信してもよい。たとえば、
処理ツール50は、さまざまな動作データを処理ツールから検索する装置インタ
ーフェイス(図示せず)に結合され、このデータを先進的プロセス制御(APC
)フレームワークに伝達して、処理ツール50が不良動作を経験しているかどう
かを判断してもよい。装置インターフェイスも、処理ツール50制御用のAPC
フレームワークから制御信号を受信してもよい。たとえば、APCフレームワー
クからの制御信号は、APCフレームワークにより受取られた処理データに基づ
いて処理ツール50のさまざまな動作パラメータを調整するために用いられても
よい。処理データは、処理ツール50、データベース、ユーザ入力、APCフレ
ームワークに同様に接続されている他の処理ツールなど任意の数の源により生成
され得る。
【0020】 半導体ウェハ52は一般にバッチ単位で処理され、これは普通、ロットまたは
バッチ処理と呼ばれている。たとえば、1ロットのウェハ52が25枚のウェハ
52を含む場合がある。1ロット内のウェハ52は通常、製造工程をともに進む
。これは、ウェハ52をほぼ同じ製造条件下に置き、結果としてもたらされる半
導体装置がほぼ同じ性能特性(たとえば速度、電力など)を有するようにするた
めである。一般に、処理ツール50が許せば、1ロットのウェハ52は同時に処
理され、そのロット内のウェハ52はほぼ同じ製造条件下に置かれる。しかし、
エッチング処理ツールなどのさまざまな処理ツール50が、ウェハ52を個々に
処理する場合がある。たとえば、1ロット内のウェハ52をすべて同時に処理す
る代わりに、各ウェハ52が連続して個々に処理される。
【0021】 図5は、例示的な処理ツール50の断面図を示す。この例示的な実施例では、
処理ツール50は、半導体ウェハ52上に形成されたさまざまな処理層をエッチ
ングするために用いられる平面プラズマエッチャーである。たとえば、図6は、
ウェハ52の例示的な断面図である。ウェハ52が、基板58と、特定の処理ご
とに変わる任意の数の処理層60とを含む場合があることは、当業者には理解さ
れるであろう。この例示的な実施例では、処理層60は阻止層62とエッチング
層64とを含む。阻止層62およびエッチング層64は、ポリシリコン、窒化シ
リコン、二酸化シリコン、金属などのさまざまな材料から構成されてもよい。図
示されてはいないが、ウェハ52の表面51(たとえばこの例ではエッチング層
64の表面65)が不均一である場合がある。たとえば、ウェハ52は、中心が
薄い不均一性、中心が厚い不均一性などのような中心から端にかけてのばらつき
を示す場合がある。さらに、以下に説明されるように、処理ツール50の動作パ
ラメータは、ウェハ52の表面不均一性およびその他の処理変数を勘案するため
に調整される場合がある。
【0022】 図5に戻ると、処理ツール50がこの発明を例示するための平面プラズマエッ
チャーとして図示されているが、処理ツール50は、単一または複数のウェハエ
ッチングチャンバ、円筒状プラズマエッチャー、六極管プラズマエッチャー、イ
オンミリングエッチャー、ウェットエッチャーなどのさまざまなエッチング装置
を含んでいてもよい。さらに、処理ツール50の詳細は、この発明を不必要に不
明瞭にすることを避けるため簡略化されている。この例示的な実施例では、処理
ツール50は反応チャンバ66、パレット68、電極70、および終点検出器7
2を含んでいる。
【0023】 反応チャンバ66は真空システム開口部74とガス供給開口部76とを含んで
いてもよい。真空システム開口部74は真空ポンプ(図示せず)に接続され、こ
れが反応チャンバ66の内部に真空環境を作り出すために用いられてもよい。ガ
ス供給開口部76はガス供給源(図示せず)に接続され、これが1つまたはそれ
以上の化学的エッチング剤(たとえば反応性ガス)を反応チャンバ66へ通すた
めに使われてもよい。通常、ウェハ52は処理ツール50の反応チャンバ66へ
装填され、パレット68上に配置される。反応チャンバ66が一旦密閉されると
、真空環境が作り出され、反応チャンバ66は反応性ガス(たとえばCF4)で
充填される。電極70は電源(図示せず)から励起(energize)されてもよく、
無線周波数(RF)界が反応チャンバ66内部に設定されてもよい。RF界は反
応性ガス混合物を励起してプラズマ状態にする。一旦励起されると、プラズマは
ウェハ52の露出した部分をエッチングし、エッチング処理の持続時間は設計選
択により異なる。以下に説明するように、処理コントローラ54は、ウェハ52
の表面不均一性およびその他の処理変数を勘案するために、処理ツール50のさ
まざまな動作パラメータを調節してもよい。
【0024】 この実施例では、パレット68は電気的に接地され、RF界が電極70とパレ
ット68との間に設定されている。この構成において、ウェハ52はプラズマ界
に配置されている。さらに、ウェハ52の表面全体にわたってより均一なエッチ
ング速度を保証するため、パレット68はエッチング処理中回転してもよい。
【0025】 終点検出器72は、エッチング処理の状態をモニタするために用いられてもよ
い。たとえば、一実施例では、終点検出器72は発光分光法(OES)を用いて
プラズマの内容の濃度レベルを受動的に測定する。濃度レベルを測定しながら、
終点検出器72は対応する濃度信号を生成し、これが処理コントローラ54に送
信されてもよい。
【0026】 ウェハ52がエッチングされている際、エッチング層64の露出部分が除去さ
れ、エッチング層の反応生成物がプラズマと混合する場合があるということは、
当業者には理解されるであろう。たとえば、二酸化シリコン(SiO2)を反応
性ガス六フッ化炭素(C26)でエッチングする際、エッチング層の反応生成物
は四フッ化シリコン(SiF4)となる場合がある。ウェハ52のエッチング層
64が一旦ほぼ完全に除去されると、エッチング層64からのエッチング処理へ
の供給は減少し、エッチング層反応生成物(たとえばSiF4)の濃度レベルが
減少する。終点検出器72はエッチング層反応生成物の減少をモニタし、濃度レ
ベルが減少して一旦しきい値を超えると、処理コントローラ54はエッチング層
64が十分にエッチングされたと判断してもよい。同様に、終点検出器72は、
阻止層の反応生成物がプラズマに含まれているかどうかをモニタしてもよい。た
とえば、エッチング層64が一旦完全に除去され阻止層62が露出すると、プラ
ズマが阻止層62の露出部分をエッチングし始める場合がある。これが起こると
、阻止層の反応生成物がプラズマに導入される場合がある。この実施例では、終
点検出器72は阻止層反応生成物の増加をモニタしてもよく、濃度レベルが増加
して一旦しきい値を超えると、処理コントローラ54はエッチング層64が十分
にエッチングされたと判断してもよい。
【0027】 終点制御を用いる典型的なエッチング処理では、エッチング処理全体は特化さ
れた工程と見なされてもよい。たとえば、例示的な一実施例では、エッチング処
理はメインエッチング、終点エッチング、およびオーバーエッチングを含んでい
てもよい。この例では、メインエッチングの間、ウェハ52はプラズマの内容の
濃度レベルをモニタせずにエッチングされてもよく、露出されたエッチング層6
4の相当部分が除去されてもよい。メインエッチングの持続時間は特定の処理に
より変わる場合があるが、一例では、メインエッチングは30秒続く。
【0028】 メインエッチングが一旦完了すると、終点エッチングを始めることができる。
上述のように、終点検出器72がプラズマの内容の濃度レベルをモニタし、処理
コントローラ54が終点エッチングの完了時点を判断してもよい。ここでも、終
点検出器72はエッチング層反応生成物、阻止層反応生成物、またはその他のプ
ラズマの関連要素の濃度レベルを測定してもよい。通常、終点エッチングの一部
分の間に、処理コントローラ54は関連反応生成物の基準線濃度レベルを判断す
る。この基準線濃度レベルから、処理コントローラ54は反応生成物の濃度レベ
ルの増加または減少を観察し、終点エッチングの完了時点を判断してもよい。一
実施例において、終点エッチングは10〜25秒続いてもよい。もちろん、終点
エッチングの完了時点を判断するためにさまざまな制御アルゴリズムが終点検出
器72および処理コントローラ54と組合せて用いられてもよいことは、当業者
には理解されるであろう。
【0029】 終点エッチングが完了したと処理コントローラ54が一旦判断すると、オーバ
ーエッチングが始まるであろう。これはウェハ52が十分にエッチングされるこ
とを保証する。エッチング処理のためのオーバーエッチング時間は設計選択によ
り異なる場合があり、一実施例では、オーバーエッチング時間は7秒である。上
述の特化された工程(たとえばメインエッチング、終点エッチング、およびオー
バーエッチング)は連続するエッチング処理の一部であり、この特化された工程
がエッチング処理全体の制御を簡略化するため個々のものとして見なされてもよ
いことは、当業者には理解されるであろう。さらに、望ましい場合には、エッチ
ング処理はさらなる工程にさらに細分化されてもよく、または、エッチング処理
は全体でひとつのものとして見なされ、制御されてもよい。
【0030】 図7は、この発明の例示的な一実施例のフローチャートによる描写を示す。ブ
ロック78において、処理されるべきウェハ52の特性が判断される。上述した
ように、図6に示されたウェハ52の表面51は、中心が薄い不均一性、中心が
厚い不均一性などの任意の数の表面トポグラフィーを示す場合がある。ウェハ5
2の表面トポグラフィーがさまざまな周知の手法を用いて判断されてもよいこと
は、当業者には理解されるであろう。たとえば、一実施例において、透明な処理
層60(たとえば酸化物、ポリシリコン、窒化シリコンなど)では、ウェハ52
の表面不均一性は楕円偏光法またはレーザ干渉法を用いて判断されてもよい。金
属のような不透明の処理層60では、他の測定手法が用いられてもよい。たとえ
ば、処理層60のシート抵抗を測定するために4点プローブが用いられてもよく
、このデータから、処理層60の厚さがウェハ全体のさまざまな場所で判断され
てもよい。
【0031】 一実施例では、モニタウェハ(図示せず)が1ロットの製品ウェハ52に含ま
れていてもよい。モニタウェハはそのロットの製品ウェハ52とともに製造工程
を進んで、ほぼ同じ製造条件を経験してもよい。製品ウェハ52を扱う代わりに
、上述の測定方法をモニタウェハに対して行ってもよく、その測定値は製品ウェ
ハ52の代表的標本として用いられてもよい。別の実施例では、モニタウェハ5
2のさまざまな断面図が、たとえば走査電子顕微鏡を用いて測定され、製品ウェ
ハ52のエッチング層64の不均一性を判断してもよい。一旦判断されると、ウ
ェハ52の不均一性データは処理コントローラに送られてもよい。
【0032】 不均一性に加え、処理コントローラ54は、処理ツール50の制御中、ウェハ
52のその他のさまざまな処理特性を考慮してもよい。たとえば、上述したよう
に、ウェハ52のエッチング層64が一部分だけエッチング処理に晒されてもよ
い。マスク層(図示せず)がウェハ52の表面上に選択的にパターン化されても
よく、エッチング処理中、エッチング層64の一部のみが露出され除去されても
よいことは、当業者には理解されるであろう。エッチング処理に有効に晒される
エッチング層64の割合(すなわち有効露出)は、処理ツール50の最適動作パ
ラメータに影響を及ぼす場合がある。たとえば、エッチング処理に対する有効露
出が80%のウェハ52ではエッチングに60秒かかる場合、有効露出が50%
のウェハでは特定の処理次第でエッチングにたった50秒しかかからない場合が
ある。またこれに代えて、他の処理において、有効露出の減少が最適エッチング
時間を増加させる場合がある。さらに、エッチングされる材料、ウェハサイズ、
処理技術など、製品ごとのその他の違いが、処理コントローラ54によって考慮
されてもよい。
【0033】 ブロック80において、処理ツール50の現在の状態が判断されてもよい。一
実施例では、処理ツール50のエッチング速度は、ウェハ52の表面全体にわた
って完全に均一でないかも知れない。たとえば、一実施例において、ウェハ52
の処理前の表面特性がほぼ均一であっても、引続いて起こるウェハ52の処理後
の表面特性は中心が薄い、中心が厚い、などであり得る。さらに、処理ツール5
0の処理プロファイル(たとえば中心が速い、中心が遅いなど)は予測できない
かも知れず、処理工程間で変わるかも知れない。
【0034】 処理ツール50の現在の状態(たとえば中心が遅い、中心が速いなど)を判断
するために、さまざまな方法が用いられ得る。一実施例では、処理ツール50は
周知の処理前特性を有するモニタウェハ(図示せず)を処理するであろう。一旦
処理されてから、モニタウェハの処理後特性を測定し、このデータから、処理ツ
ール50の現在の状態を判断してもよい。別の実施例では、製品ウェハの処理前
特性とその処理後特性とを比較して、処理ツール50の現在の状態を判断しても
よい。上述の処理プロファイルに加え、温度、平均エッチング速度、圧力、反応
性ガス濃度などのその他の変数をモニタして、処理ツール50の現在の状態を判
断してもよい。さらに、さまざまな処理変数をさまざまな測定アルゴリズムを用
いてモニタしてもよく、選択された方法が特定の処理および処理ツール50次第
で異なる場合があることは、当業者には理解されるであろう。
【0035】 ブロック82において、処理コントローラ54はウェハ52の特性と処理ツー
ル50の現在の状態とを比較してもよい。たとえば、一実施例では、処理コント
ローラ54は、処理ツール50の処理プロファイルをウェハ52の表面不均一性
と比較してもよい。比較結果次第で、処理ツール50の動作パラメータに調整が
行なわれてもよい。
【0036】 ウェハ52をオーバーエッチングするとその下にある阻止層62を薄くする場
合があり、これが生産歩留りの低下をもたらすおそれがあることは、当業者には
理解されるであろう。しかし、ウェハ52の不均一性が大きい場合、ウェハ52
をエッチングする際、ウェハ52のある部分では阻止層62により早く到達する
一方、ウェハ52のその他の部分は依然としてエッチング層64に部分的に覆わ
れている場合がある。これが起こると、ウェハ52の不均一性およびその他の処
理特性に対する処理を調整せずに上述の終点制御方法を用いると、エッチング層
64の一部が不適切にエッチングされる(たとえばオーバーエッチング、不完全
エッチングなど)ことになる場合がある。またこれに代えて、処理ツール50の
処理プロファイルがウェハ52の表面不均一性の反対である場合、処理ツール5
0の動作パラメータに著しい調整を加えなくてもよい。たとえば、処理プロファ
イルが中心で速く、ウェハ52の表面不均一性が中心で厚くなっている場合、こ
の違いは互いを十分に打ち消し合うことができるので、処理ツール50の動作パ
ラメータを調整せずにエッチング層64がエッチングされてもよい。またこれに
代えて、処理プロファイルとウェハ52の表面不均一性が同様であれば、処理ツ
ール50の動作パラメータへのより著しい調整が必要となるであろう。たとえば
、処理ツール50を調整しなければ、終点が早まって判断され、ウェハ52の一
部が不適切にエッチングされるおそれがある。
【0037】 ブロック84において、処理コントローラ64は、ウェハ52の特性と処理ツ
ール50の現在の状態との比較に基づき、処理ツール50の動作パラメータを調
整するかどうかを決定してもよい。処理ツール50の現在の状態がウェハ52を
十分にエッチングするには不適切であると判断された場合、ブロック86におい
て、処理ツール50の適切な動作パラメータを調整することができる。
【0038】 処理コントローラ54は、処理ツール50の異なるさまざまな動作パラメータ
を調整することにより、エッチング処理を操作してもよい。一実施例では、処理
コントローラ54はエッチング処理の終点エッチングを調整してもよい。たとえ
ば、処理コントローラ54は、終点エッチングの完了時点を判断するしきい値濃
度レベルを調整することにより、終点エッチング時間を調整してもよい。エッチ
ング層反応生成物をモニタする際、終点しきい値濃度レベルを下げることにより
エッチング処理を延長してもよい。またこれに代えて、終点しきい値濃度レベル
を上げると、エッチング処理が短縮されるであろう。同様に、阻止層反応生成物
をモニタする際、終点しきい値濃度レベルを上げることによりエッチング処理を
延長できる。またこれに代えて、阻止層反応生成物では、終点しきい値濃度レベ
ルを下げるとエッチング処理が短縮される。一般に、ウェハ52の不均一性が著
しい場合、ウェハ52全体が十分にエッチングされることを保証するため、エッ
チング処理の持続時間が長くされるであろう。しかし、上述のように、処理ツー
ル50の処理プロファイルが、処理ツール50の動作パラメータへの必要な調整
に影響を及ぼす場合がある。
【0039】 エッチング処理の終点エッチングを操作することに加え、処理ツール50のオ
ーバーエッチング時間も処理コントローラ54によって調整され得る。同様に、
ウェハ52の不均一性が著しい場合、ウェハ52全体が十分にエッチングされる
ことを保証するため、オーバーエッチングの持続時間が長くされ得る。またこれ
に代えて、処理ツール50の処理プロファイルとウェハ52の不均一性とが互い
を打ち消し合う場合、オーバーエッチングの持続時間は短くするかまたはそのま
ま変えなくてもよい。
【0040】 処理ツール50のその他の動作パラメータは、エッチング処理の持続時間に影
響を及ぼさずに調整され得る。たとえば、処理ツール50のエッチング速度は、
反応チャンバ66内部の圧力を変えたり、電極70への電力を増加させたり、反
応性ガスの流れを増加させるなどによって増加させることができる。ここでも、
ウェハ52の不均一性が著しい場合、ウェハ52全体が十分にエッチングされる
ことを保証するため、処理ツール50のエッチング速度を速くする場合がある。
同様に、処理ツール50の処理プロファイルとウェハ52の不均一性とが互いに
打ち消し合う場合、処理ツール50のエッチング速度を減じるかまたはそのまま
変えなくてもよい。またこれに代えて、処理ツール50の動作パラメータへの調
整が必要でなければ、ブロック88において、ウェハ52は処理ツール50の現
在の状態を用いて加工されてもよい。
【0041】 ここに開示された特定の実施例は単に例示的なものであって、この発明は、こ
この教示の恩恵を有する当業者には明らかな、異なるものの同等の方法で変更お
よび実行されてもよい。さらに、特許請求の範囲に記載の内容以外は、ここに示
された構成または設計の詳細に対する限定は意図されていない。したがって、上
に開示された特定の実施例は変更または修正されてもよく、このような変更態様
はすべてこの発明の範囲および精神に包含されると見なされることは明らかであ
る。よって、ここで求められる保護は特許請求の範囲に記載されるとおりである
【図面の簡単な説明】
【図1】 半導体ウェハの断面図である。
【図2】 溝が配置された図1の半導体ウェハの断面図である。
【図3】 半導体ウェハの断面図である。
【図4】 溝が配置された図3の半導体ウェハの断面図である。
【図5】 半導体装置を製造するために用いられる処理ツールの簡略化され
たブロック図である。
【図6】 エッチング処理ツールの例示的な一実施例の断面図である。
【図7】 半導体ウェハの断面図である。
【図8】 この発明によって教示される方法のフローチャート表示を示す図
である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成14年3月22日(2002.3.22)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F004 AA01 BA04 CB15 DA01 DA02 DB01

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 ウェハの表面不均一性を測定するステップと、 エッチング処理ツールの現在の状態を判断するステップと、 前記ウェハの前記表面不均一性を前記処理ツールの前記現在の状態と比較する
    ステップと、 前記ウェハの前記表面不均一性と前記処理ツールの前記現在の状態との前記比
    較に基づき、前記処理ツールの動作パラメータを調整するステップとを含む、方
    法。
  2. 【請求項2】 前記ウェハの少なくとも1つの処理特性を判断するステップ
    と、 前記ウェハの前記少なくとも1つの処理特性を前記処理ツールの前記現在の状
    態と比較するステップと、 前記ウェハの前記少なくとも1つの処理特性と前記処理ツールの前記現在の状
    態との前記比較に基づき、前記処理ツールの前記動作パラメータを調整するステ
    ップとをさらに含む、請求項1に記載の方法。
  3. 【請求項3】 前記処理ツールの現在の状態を判断するステップは、前記処
    理ツールのエッチング速度処理プロファイルを判断するステップを含む、請求項
    1に記載の方法。
  4. 【請求項4】 前記処理ツールの動作パラメータを調整するステップは、エ
    ッチング処理の持続時間を減少させるステップを含む、請求項1に記載の方法。
  5. 【請求項5】 エッチング処理の持続時間を減少させるステップは、 終点しきい値濃度レベルを上げるステップと、 エッチング層反応生成物を測定するステップと、 前記測定されたエッチング層反応生成物を前記上げられた終点しきい値濃度レ
    ベルを用いてモニタするステップとを含む、請求項4に記載の方法。
  6. 【請求項6】 エッチング処理の持続時間を減少させるステップは、 終点しきい値濃度レベルを下げるステップと、 阻止層反応生成物を測定するステップと、 前記測定された阻止層反応生成物を前記下げられた終点しきい値濃度レベルを
    用いてモニタするステップとを含む、請求項4に記載の方法。
  7. 【請求項7】 エッチング処理の持続時間を減少させるステップはオーバー
    エッチング処理時間を増加させるステップを含む、請求項4に記載の方法。
  8. 【請求項8】 前記処理ツールの動作パラメータを調整するステップはエッ
    チング処理の持続時間を増加させるステップを含む、請求項1に記載の方法。
  9. 【請求項9】 エッチング処理の持続時間を増加させるステップは、 終点しきい値濃度レベルを下げるステップと、 エッチング層反応生成物を測定するステップと、 前記測定されたエッチング層反応生成物を前記下げられた終点しきい値濃度レ
    ベルを用いてモニタするステップとを含む、請求項8に記載の方法。
  10. 【請求項10】 エッチング処理の持続時間を増加させるステップは、 終点しきい値濃度レベルを上げるステップと、 阻止層反応生成物を測定するステップと、 前記測定された阻止層反応生成物を前記上げられた終点しきい値濃度レベルを
    用いてモニタするステップとを含む、請求項8に記載の方法。
  11. 【請求項11】 前記処理ツールの動作パラメータを調整するステップは、
    前記処理ツールの前記現在の状態を用いて前記ウェハを処理するステップを含む
    、請求項1に記載の方法。
  12. 【請求項12】 エッチング処理ツールの現在の状態を判断するステップは
    、 モニタウェハの複数の処理前特性を測定するステップと、 前記処理ツールを用いて前記モニタウェハを処理するステップと、 前記モニタウェハの複数の処理後特性を測定するステップと、 前記モニタウェハの前記処理前特性と前記処理後特性とを比較するステップと
    を含む、請求項1に記載の方法。
  13. 【請求項13】 ウェハのエッチング処理に適合する処理ツールと、 前記ウェハの表面不均一性を測定し、前記処理ツールの現在の状態を判断する
    ための複数の測定装置と、 前記ウェハの前記表面不均一性と前記処理ツールの前記現在の状態とを比較し
    、前記比較に基づき前記処理ツールの動作パラメータを調整するための処理コン
    トローラとを含むシステム。
  14. 【請求項14】 前記処理コントローラは、前記ウェハの少なくとも1つの
    処理特性を受取り、前記少なくとも1つの処理特性を前記処理ツールの前記現在
    の状態と比較し、前記比較に基づいて前記処理ツールの前記動作パラメータを調
    整するよう適合されている、請求項13に記載のシステム。
  15. 【請求項15】 前記処理コントローラは、終点しきい値濃度レベルを下げ
    、測定されたエッチング層反応生成物を表わす信号を受取り、前記エッチング層
    反応生成物を前記下げられた終点しきい値濃度レベルを用いてモニタするよう適
    合されている、請求項13に記載のシステム。
  16. 【請求項16】 前記処理コントローラは、終点しきい値濃度レベルを上げ
    、測定された阻止層反応生成物を表わす信号を受取り、前記阻止層反応生成物を
    前記上げられた終点しきい値濃度レベルを用いてモニタするよう適合されている
    、請求項13に記載のシステム。
JP2001550800A 2000-01-04 2000-09-06 ドライエッチャーの現場制御 Pending JP2003519920A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/476,875 US6485990B1 (en) 2000-01-04 2000-01-04 Feed-forward control of an etch processing tool
US09/476,875 2000-01-04
PCT/US2000/024423 WO2001050520A1 (en) 2000-01-04 2000-09-06 In-situ contril of a dry etcher

Publications (2)

Publication Number Publication Date
JP2003519920A true JP2003519920A (ja) 2003-06-24
JP2003519920A5 JP2003519920A5 (ja) 2007-10-25

Family

ID=23893611

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001550800A Pending JP2003519920A (ja) 2000-01-04 2000-09-06 ドライエッチャーの現場制御

Country Status (5)

Country Link
US (1) US6485990B1 (ja)
EP (1) EP1245040A1 (ja)
JP (1) JP2003519920A (ja)
KR (1) KR100768580B1 (ja)
WO (1) WO2001050520A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005109454A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP2005109455A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP2005109456A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP2005109457A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP2017500740A (ja) * 2013-12-10 2017-01-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウエハをダイシングする方法及びそのためのキャリア

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338855A (ja) * 2000-05-30 2001-12-07 Matsushita Electric Ind Co Ltd 先行ウェハ決定方法、測定ウェハ決定方法及びウェハ数調整方法
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
KR100939329B1 (ko) * 2002-01-10 2010-01-28 어드밴스드 마이크로 디바이시즈, 인코포레이티드 에이전트 기반 제어 아키텍쳐
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US6853873B1 (en) * 2003-02-21 2005-02-08 Nanometrics Incorporated Enhanced throughput of a metrology tool
TWI229387B (en) * 2004-03-11 2005-03-11 Au Optronics Corp Laser annealing apparatus and laser annealing process
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
US7437404B2 (en) 2004-05-20 2008-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving equipment communication in semiconductor manufacturing equipment
US7127375B2 (en) * 2004-10-12 2006-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Non-uniformity pattern identification systems and methods thereof
US7846305B2 (en) * 2005-03-01 2010-12-07 Hitachi Global Storage Technologies, Netherlands, B.V. Method and apparatus for increasing uniformity in ion mill process
KR101975795B1 (ko) * 2017-12-20 2019-05-07 주식회사 한화 반구형 공진기 발란싱 장치 및 방법
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5929427A (ja) * 1982-08-12 1984-02-16 Nec Corp 半導体装置の生産装置システム
JPS61214430A (ja) * 1985-03-19 1986-09-24 Nippon Gakki Seizo Kk 半導体装置の製法
JPH01239929A (ja) * 1988-03-22 1989-09-25 Sharp Corp ドライエッチング装置
JPH10125660A (ja) * 1996-08-29 1998-05-15 Fujitsu Ltd プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
JPH11288921A (ja) * 1998-04-01 1999-10-19 Hitachi Ltd プラズマ処理の終点検出方法及びその装置並びにそれを用いた半導体デバイスの製造方法及びその装置
JPH11354482A (ja) * 1998-06-09 1999-12-24 Sony Corp 洗浄装置及び洗浄方法、並びにエッチング装置及びエッチング方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528438A (en) * 1976-09-16 1985-07-09 Northern Telecom Limited End point control in plasma etching
JPS56125841A (en) 1980-03-07 1981-10-02 Fujitsu Ltd Plasma-etching method
JPH02310921A (ja) 1989-05-26 1990-12-26 Hitachi Ltd 半導体装置の製造方法
US5308447A (en) * 1992-06-09 1994-05-03 Luxtron Corporation Endpoint and uniformity determinations in material layer processing through monitoring multiple surface regions across the layer
US5565114A (en) 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5399229A (en) 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
DE59405680D1 (de) * 1993-06-23 1998-05-20 Siemens Ag Verfahren zur Herstellung eines Isolationsgrabens in einem Substrat für Smart-Power-Technologien
US5405488A (en) 1993-09-13 1995-04-11 Vlsi Technology, Inc. System and method for plasma etching endpoint detection
US5788869A (en) 1995-11-02 1998-08-04 Digital Equipment Corporation Methodology for in situ etch stop detection and control of plasma etching process and device design to minimize process chamber contamination
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5871658A (en) 1997-01-13 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Optical emisson spectroscopy (OES) method for monitoring and controlling plasma etch process when forming patterned layers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5929427A (ja) * 1982-08-12 1984-02-16 Nec Corp 半導体装置の生産装置システム
JPS61214430A (ja) * 1985-03-19 1986-09-24 Nippon Gakki Seizo Kk 半導体装置の製法
JPH01239929A (ja) * 1988-03-22 1989-09-25 Sharp Corp ドライエッチング装置
JPH10125660A (ja) * 1996-08-29 1998-05-15 Fujitsu Ltd プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
JPH11288921A (ja) * 1998-04-01 1999-10-19 Hitachi Ltd プラズマ処理の終点検出方法及びその装置並びにそれを用いた半導体デバイスの製造方法及びその装置
JPH11354482A (ja) * 1998-06-09 1999-12-24 Sony Corp 洗浄装置及び洗浄方法、並びにエッチング装置及びエッチング方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005109454A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP2005109455A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP2005109456A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP2005109457A (ja) * 2003-09-09 2005-04-21 Seiko Instruments Inc 半導体装置の製造方法
JP4732726B2 (ja) * 2003-09-09 2011-07-27 セイコーインスツル株式会社 半導体装置の製造方法
JP2017500740A (ja) * 2013-12-10 2017-01-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウエハをダイシングする方法及びそのためのキャリア

Also Published As

Publication number Publication date
KR20020063297A (ko) 2002-08-01
EP1245040A1 (en) 2002-10-02
WO2001050520A1 (en) 2001-07-12
US6485990B1 (en) 2002-11-26
KR100768580B1 (ko) 2007-10-22

Similar Documents

Publication Publication Date Title
JP2003519920A (ja) ドライエッチャーの現場制御
US6939811B2 (en) Apparatus and method for controlling etch depth
TWI430361B (zh) Plasma processing device and plasma processing method
KR100852372B1 (ko) 집적된 얕은 트렌치 분리 접근법
US6916396B2 (en) Etching system and etching method
US6533953B2 (en) Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
KR101408456B1 (ko) 다중-구역 종료점 검출기
EP1087421A2 (en) Method and apparatus for providing a stable plasma
TWI442468B (zh) Plasma processing device and plasma processing method
US5968374A (en) Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
JP2016066801A (ja) プラズマ処理方法
EP1349196A2 (en) Plasma etching method and apparatus for manufacturing a semiconductor device
JP5815361B2 (ja) 基板処理制御装置および基板処理制御方法、制御プログラム、可読記憶媒体
TWI431685B (zh) Plasma processing device and plasma processing method
US20050106868A1 (en) Etching method
JP3661851B2 (ja) ドライエッチング方法およびドライエッチング装置
KR101066972B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
KR100842481B1 (ko) 시즈닝 레시피 방법
JP2004071798A (ja) 試料処理装置及び試料処理システム
JP2008218557A (ja) 半導体デバイスの製造方法および製造装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070831

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070831

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100914

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111018