JP2002075983A - メゾポーラス酸化膜の形成方法及びデュアルダマシン構造の形成方法 - Google Patents

メゾポーラス酸化膜の形成方法及びデュアルダマシン構造の形成方法

Info

Publication number
JP2002075983A
JP2002075983A JP2001113148A JP2001113148A JP2002075983A JP 2002075983 A JP2002075983 A JP 2002075983A JP 2001113148 A JP2001113148 A JP 2001113148A JP 2001113148 A JP2001113148 A JP 2001113148A JP 2002075983 A JP2002075983 A JP 2002075983A
Authority
JP
Japan
Prior art keywords
phosphorus
acid
substrate
chamber
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001113148A
Other languages
English (en)
Other versions
JP4953515B2 (ja
Inventor
Robert P Mandal
ピー. マンダル ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002075983A publication Critical patent/JP2002075983A/ja
Application granted granted Critical
Publication of JP4953515B2 publication Critical patent/JP4953515B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B37/00Compounds having molecular sieve properties but not having base-exchange properties
    • C01B37/02Crystalline silica-polymorphs, e.g. silicalites dealuminated aluminosilicate zeolites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 メゾポーラス酸化膜形成方法及びデュアルダ
マシン構造形成方法 【解決手段】 本発明は、概して、低い誘電率の膜を基
板上に堆積する方法及び装置を提供する。低い誘電率の
膜はリンドープのメゾポーラス酸化膜である。この膜
は、リン含有ゾルゲル前駆物質を堆積及びキュアして、
均一直径の相互接続孔を有する酸化膜を形成し、次に、
不活性ガス雰囲気中で膜をアニールするか、反応性酸素
種を含む酸化雰囲気へ膜を露出して、リンドープのメゾ
ポーラス酸化膜を形成することによって形成される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は集積回路の製造に関
する。更に、具体的には、本発明は誘電層を基板上に堆
積する方法に関する。
【0002】
【従来の技術】半導体デバイスのジオメトリは、半導体
デバイスが数十年前に最初に紹介されてから以後、サイ
ズにおいて目覚しく縮小した。そのとき以来、集積回路
は概して2年/半サイズの規則(しばしば、ムーアの法
則と呼ばれる)に従ってきた。これは、チップ上に適合
するデバイスの数が2年ごとに倍増することを意味す
る。今日の製造工場は、0.35μm、更には0.18
μmの表面形状サイズを有するデバイスを普通に製造し
ており、明日の工場は、間もなく更に小さなジオメトリ
を有するデバイスを製造しているであろう。
【0003】集積回路上のデバイスのサイズを更に縮小
するため、低い抵抗率を有する導電性材料及び低い誘電
率k(ここでk<4.0)を有する絶縁体を使用して、
隣接するメタル線の間の容量結合を減らすことが必要と
なってきた。低いkの誘電体は、国際公開番号WO99
/41423で説明されるように、SOG(スピンオン
グラス)法及び化学的気相成長(CVD)法によって堆
積されてきた。国際公開番号WO99/41423に説
明されるように、水分等の副生成物が低k誘電層から導
電性材料へ、また周囲環境から低k誘電体へと拡散する
のを防止するため、キャップ層を含むライナ/バリア層
が、低k誘電層に隣接して堆積された。
【0004】例えば、低k絶縁体の形成中に発生した水
分は、導電性メタルの表面へ容易に拡散し、隣接した導
電性メタル面の抵抗率を増加する。層間の拡散を防止す
るため、バリア/ライナ層が層間に堆積されるが、それ
らの層は、典型的には、副生成物の拡散をブロックし、
及び/又は低k材料へのメタル層の拡散を防止する通常
のケイ素ベースの材料、例えば窒化ケイ素から形成され
る。しかし、バリア/ライナ層は、誘電率が約7の窒化
ケイ素のように、典型的には4.0より著しく大きな誘
電率を有し、この高誘電率は、誘電率を顕著に減じない
結合絶縁層を生じる可能性がある。
【0005】低いkの層を形成する1つのアプローチ
は、高多孔質で低密度の膜を堆積して、空気の誘電率、
即ちkから1に近づく誘電率を得ることである。低k多
孔質膜堆積方法の例は、Brinker et al.の米国特許第
5,858,457号に説明されている。Brinker et a
l.は、ゾルゲル前駆物質を基板上に堆積し、次に、ゾル
ゲル前駆物質の成分を選択的に蒸発して超分子アセンブ
リを形成することによって、高い膜多孔質を有する低誘
電率膜を形成する方法を開示している。次に、アセンブ
リは、約400℃で超分子テンプレートを酸化熱分解す
ることによって、配列された多孔質膜へ形成される。し
かし、Brinker et al.の特許では、熱分解ステップは、
ゾルゲルを多孔質膜へ焼成するのに約4時間を必要とす
る。そのような時間の長さは、現代の半導体製造におい
て、より高い処理速度への要求が増大していることと両
立しない。
【0006】シリカベースの高多孔質膜、例えば前記の
Brinker et al.の特許に説明される酸化ケイ素膜は、荷
電可動イオン、特に、ゾルゲル前駆物質中に形成された
ナトリウム及びカリウムのようなアルカリイオンに対し
て、貧弱な耐拡散性を有する。これらの荷電イオンは、
印加された電界の影響の下で膜へ容易に拡散及び貫通
し、膜の導電率を増大して、膜の分極及び膜の誘電率の
増大を生じる。従って、荷電可動イオンの輸送は、集積
回路のマルチレベル相互接続に使用される低誘電率絶縁
膜に有害である。
【0007】更に、シリカベースの多孔質膜は、しばし
ば親水性であり、周囲環境から水分を積極的に吸収す
る。もし、約78の誘電率(k)を有する水が多孔質膜
によって吸収されると、多孔質膜の低k誘電特性は、有
害な影響を受ける可能性がある。しばしば、これらの親
水性膜は、水分を除去するためアニールされるが、これ
は堆積方法における一時的解決法に過ぎない。なぜな
ら、膜は、この手順に続く水分汚染に依然として敏感だ
からである。更に、アニーリングは、しばしば時間浪費
の方法であり、基板の処理時間を増加し、低いスループ
ット率を生じる。一般的に、親水性膜の水分汚染を制限
するため、膜は、シリル化処理によって、及び、キャッ
プ層又はパッシベーション層を堆積して多孔質膜の水分
汚染を防止することによって、親水性膜から疎水性膜へ
変えられる。
【0008】多孔質膜上にキャップ層を堆積する場合の
1つの問題は、多孔質膜、例えばスピン塗布方式及びス
プレー塗布方式の多孔質膜は、大気圧、即ち約300ト
ールより大きい圧力で堆積され、キャップ層は、典型的
には、真空圧、即ち約100トールよりも小さな圧力で
実行されるプラズマ化学的気相成長(PECVD)法で
堆積されることである。そのような真空処理及び大気処
理は、典型的には、別々の真空及び大気処理システム又
はクラスタツール装置の中で実行される。その場合、1
つの処理システム又は装置から他の処理システム又は装
置への移送は、多孔質膜を汚染へ露出する。クラスタツ
ールは、中央基板ハンドラモジュール及び多数の周辺処
理チャンバを有するモジュール方式のマルチチャンバ統
合処理システムである。そのようなシステムでは、導入
された基板は、集積回路を形成するため様々な処理チャ
ンバの中で一連の処理ステップを逐次に経験する。クラ
スタツールは、先進的マイクロエレクトロニックデバイ
スを製造するための有効で効率的な機器として、一般的
に受け入れられるようになってきた。
【0009】図1は、複数の単一基板処理チャンバ12
を有する真空クラスタツール10を示す。処理チャンバ
12は、1つ又は複数のロードロックチャンバ20に置
かれた基板カセットから1つ又は複数の処理チャンバ1
2へ基板を移送するため、移送チャンバ18と呼ばれる
中央真空チャンバに取り付けられている。この特定のツ
ールは、4つまでの単一基板処理チャンバ12を、移送
チャンバの周りで放射状に配置できるように示される。
図1に示されるクラスタツールに類似したものは、米国
カリフォルニア州サンタクララのアプライドマテリアル
ス社より入手可能である。処理チャンバ12の間の基板
の移送は、典型的には、中央移送チャンバ12に置かれ
た基板ハンドラモジュール16によって管理される。基
板が処理された後、それらはロードロックチャンバ20
を通って基板カセットへ戻される。そこから、基板は、
追加処理のために次のシステムへ移動されることができ
る。物理的気相成長(PVD)、化学的気相成長(CV
D)、エッチングなどの様々な処理は、処理チャンバ1
2で実行されることができる。
【0010】典型的には、大気処理クラスタツールと真
空処理クラスタツールとは、一体化されなかった。真空
処理ツールは、処理サイクルにおける様々な処理ステッ
プの間で、真空ポンピングによる真空の保留又は真空の
再確立を必要とする。この真空要件は、大気処理ツール
と比較して、処理時間を長くし、スループット率を低く
する結果となる。この事は、これらシステムの一体化を
魅力のないものにした。しかし,クラスタツール間の基
板の移送は、処理基板の汚染を生じる可能性がある。こ
れは、多孔質膜のような、汚染に敏感な膜の移送で非常
に問題となる。現在、産業界において、周囲大気処理条
件及び近真空処理条件の双方の下で、低k誘電材料及び
キャップ材料の堆積を結合するクラスタツールは存在し
ない。
【0011】
【発明が解決しようとする課題】従って、耐イオン拡散
性の低k誘電材料を高い基板スループットで堆積する方
法の必要性が、依然として存在する。
【0012】
【課題を解決するための手段】本発明は、低誘電率のメ
ゾポーラス酸化ケイ素層を堆積する方法及び装置を提供
する。本発明の1つの様相に従えば、本発明は、リンを
有し低誘電率であるメゾポーラス酸化層を堆積する方法
を提供する。メゾポーラス膜は、好ましくは、五酸化リ
ン(P25)等のリン化合物で、重量で約2%〜約8%
のリン濃度を有する。メゾポーラス酸化ケイ素層は、リ
ン含有ゾルゲル前駆物質を堆積及びキュアして、均一直
径の相互接続部の孔を有する酸化膜を、好ましくは立方
晶相構造で形成し、次に約200℃〜約450℃の温度
で不活性ガスアニールに膜を曝露するか、約200℃〜
約400℃の温度で反応性酸素種を含む酸化雰囲気に膜
を曝露して、界面活性剤を取り除き、リンドープのメゾ
ポーラス酸化膜を形成することによって生成される。メ
ゾポーラス酸化膜は、好ましくは、少なくとも50%の
多孔質度、約1.6〜約2.2の誘電率を有する。更
に、メゾポーラス膜は、メタル間誘電層として使用され
てよい。
【0013】リン含有ゾルゲル前駆物質は、好ましく
は、ケイ素酸素化合物、リン含有酸溶液、有機溶媒、
水、及び界面活性剤を有している。更に、リンをベース
にした酸を含むリンベース酸溶液(これは、更に揮発性
無機酸及び/又は有機酸を含んでよい)によって、及び
界面活性剤のリンをベースにした成分、好ましくはp−
(CH33CCH264CH2(OCH2CH2N−O
H、p−(CH33COC64CH2(OCH2CH2N
−OH、(CH33CCH2C(CH3264(OC
2CH2N−OH、CH3(CH2KOH、CH3(C
2I(CH2CH2O)J−OH、HO(CH2CH
2O)M(CH2C(CH3)HO)L(CH2CH2O)
MH、及びこれらのフッ素誘導体、及びこれらの組み合
わせを含む群から選択されたアルコール末端界面活性剤
のリン酸塩によって、ホスホン酸配意子(リガンド)
(−PO(OH)2)をケイ素保有化学前駆物質へ付加
し又は置換して、リンをゾルゲル前駆物質の中へ導入し
てよい。ここで、Nは6〜12の整数、好ましくは8で
あり、Kは13〜17の整数であり、Iは6〜15の整
数であり、Jは20〜106の整数であり、Lは20〜
80の整数である。
【0014】本発明の他の様相において、メゾポーラス
酸化膜は、第1のケイ素酸素化合物、有機酸、第1の有
機溶媒、水、及び第1の界面活性剤を含む第1のゾルゲ
ル前駆物質を形成し、第2のケイ素酸素化合物、リンベ
ースの酸、第2の有機溶媒、水、及び第2の界面活性剤
を含む第2のゾルゲル前駆物質を形成し、第1及び第2
のゾルゲル前駆物質を混合して混合ゾルゲル前駆物質を
形成し、混合ゾルゲル前駆物質を基板上に堆積し、堆積
された混合ゾルゲル前駆物質をキュアして酸化膜を形成
し、界面活性剤除去方法へ酸化膜を露出してメゾポーラ
ス酸化膜を形成することによって、基板上に形成されて
よい。好ましくは、第1及び第2のゾルゲルは、第1の
ゾルゲル前駆物質と第2のゾルゲル前駆物質の比が、約
1:1〜約10:1であるように混合される。好ましく
は、メゾポーラス酸化膜は、リン化合物、例えば五酸化
リン(P25)で、重量で約2%〜約8%のリン濃度を
有する。
【0015】更に、本発明の他の様相において、デュア
ルダマシン構造形成方法が提供される。この方法は、第
1のエッチストップを基板上に堆積し、リンドープの第
1のメゾポーラス酸化膜を第1のエッチストップ上に堆
積し、第2のエッチストップを、リンドープの第1のメ
ゾポーラス酸化膜の上に堆積し、リンドープの第2のメ
ゾポーラス酸化膜を第2のエッチストップの上に堆積
し、第3のエッチストップを、リンドープの第2のメゾ
ポーラス酸化膜の上に堆積し、第3のエッチストップ及
びリンドープの第2のメゾポーラス酸化膜をエッチング
して垂直相互接続開口を画定し、更に垂直相互接続開口
を介して第2のエッチストップ、リンドープの第1のメ
ゾポーラス酸化膜、及び第1のエッチストップをエッチ
ングして垂直相互接続を更に画定し、それによって基板
を露出し、第3のエッチストップ及びリンドープの第2
のメゾポーラス酸化膜をエッチングして水平相互接続を
画定し、デュアルダマシン表面形状を形成することを含
む。好ましくは、メゾポーラス酸化膜は、リン化合物、
例えば五酸化リン(P25)の、重量で約2%〜約8%
のリン濃度を有する。
【0016】
【発明の実施の形態】本願の特徴、利点、目的が達成で
き、詳細に理解出来るように、簡単に概要を述べた発明
を、添付の図面に描かれた実施例に従って更に詳細に説
明する。
【0017】しかし、添付の図面は本願の典型的な実施
例を示しただけであり、故に本願の権利範囲を制限する
ものではない。他の同様に効果的な実施例も有効であ
る。
【0018】本発明は、低い誘電率を有するメゾポーラ
ス酸化ケイ素層を堆積する方法及び装置を提供する。本
発明の1つの様相に従えば、本発明は、低い誘電率及び
高いリン含量を有するメゾポーラス酸化層を堆積する方
法を提供する。メゾポーラス膜は、好ましくは、リン化
合物、例えば五酸化リン(P25)の、重量で約2%〜
約8%のリン濃度、最も好ましくは約4%のリン濃度を
有する。メゾポーラス酸化層は、酸化ケイ素を含み、リ
ン含有ゾルゲル前駆物質を堆積及びキュアして均一直径
の相互接続孔を有する酸化膜を、好ましくは立方晶相構
造で形成し、次に約200℃〜約400℃の温度で反応
性酸素種を含む酸化雰囲気へ膜を露出して界面活性剤を
除去し、リンドープのメゾポーラス酸化膜を形成するこ
とによって生成される。酸化ケイ素膜の中へ導入された
リンは,典型的には、五酸化リンのような酸化リンを形
成するであろう。メゾポーラス酸化層は、少なくとも5
0%の多孔質度、約1.6〜約2.2の誘電率を有する
であろう。更に、メゾポーラス膜は、メタル間誘電層と
して使用されてよい。リンのドープは、イオンの可動
性、堆積加水分解の完了と凝縮の加速、及び改善された
膜強度の組み合わせられた利益を有利に提供するものと
信じられる。
【0019】ゾルゲル前駆物質は、好ましくは、ケイ素
酸素化合物、リン含有酸溶液、有機溶媒、水、及び界面
活性剤を含む。ケイ素酸素化合物前駆物質は、好ましく
は、テトラエチルオルソシリレート、メチルトリエトキ
シシラン、フェニルトリエトキシシラン、p−ビス(ト
リエトキシシリル)ベンゼン、ビス(トリエトキシシリ
ル)メタン、ヘキサエトキシジシロキサン、及びこれら
の組み合わせである。更に、リンは、ホスホン酸配位子
(−PO(OH)2)をケイ素保有化学前駆物質へ付加
又は置換することによって、ゾルゲル前駆物質の中へ導
入されてよい。好ましいリン含有ケイ素酸素前駆物質
は、o−ホスホトリエトキシルシラン(CH3CH2O)
3SiOPO(OH)2、o−ホスホエチルトリエトキシ
ルシラン(CH3CH2O)3SiCH2CH2OPO(O
H)2、ホスホリルエチルトリエトキシルシラン(CH3
CH2O)3SiCH2CH2PO(OH)2、p−ホスホ
リルフェニルトリエトキシルシラン(CH3CH2O)3
Si(C64)PO(OH)2、ホスホノトリエトキシ
ルシリルケトン(CH3CH2O)3SiC(O)PO
(OH)2、ホスホノトリエトキシルシラン(CH3CH
2O)3SiPO(OH)2、及びこれらの組み合わせを
含む。
【0020】好ましくは、リン含有ゾルゲル前駆物質
は、リンをベースとした酸溶液で形成される。酸溶液
は、触媒として働き、加水分解活性化エネルギーを低く
して膜の形成中に後続の凝縮反応に触媒作用を及ぼすこ
とによって、ゾルゲル前駆物質の加水分解を加速する。
リン含有酸溶液は、好ましくは、正リン酸(H3
4)、リン酸二水素アンモニウム、リン酸二水素テト
ラメチルアンモニウム、長鎖アルコールのリン酸エステ
ル、アルコキシシリルホスホン酸塩、これらの置換誘導
体、及びこれらの組み合わせから成る群から選択された
リンをベースとした酸である。更に、リン含有酸溶液
は、揮発性無機酸、好ましくは硝酸、塩酸、過塩素酸、
及びこれらの組み合わせ、及び/又は有機酸、好ましく
はシュウ酸、グリオキシル酸、及びこれらの組み合わせ
を含んでよい。
【0021】更に、リンは、界面活性剤のリンをベース
とした成分、好ましくは、p−(CH33CCH26
4CH2(OCH2CH2N−OH、p−(CH33CO
6 4CH2(OCH2CH2N−OH、CH3(CH2
K−OH、CH3(CH2I(CH2CH2O)J−OH、
HO(CH2CH2O)M(CH2C(CH3)HO)L(C
2CH2O)MH、(CH33CCH2C(CH326
4(OCH2CH2N−OH、及びこれらのフッ素誘導
体、及びこれらの組み合わせを含む群から選択されたア
ルコール末端界面活性剤のリン酸塩によって、ゾルゲル
前駆物質の中へ導入されてよい。Nは6〜12の整数、
好ましくは8であり、Kは13〜17の整数であり、I
は6〜15の整数であり、Jは20〜106の整数であ
り、Lは20〜80の整数である。好ましくは、p−
(CH33C−CH2−C64−CH2−(OCH2
28OH、p−(CH33COC64CH2(OCH2
CH28−OH、及び他のポリエチレンオキサイド共重
合誘導体、ポリエチレンオキサイド−ポリプロピレンオ
キサイド−ポリエチレンオキサイド3ブロック共重合誘
導体、及びこれらの組み合わせの群から選択された非リ
ン含有界面活性剤が、ゾルゲル前駆物質を形成するため
に使用される。
【0022】本発明の他の様相において、メゾポーラス
酸化膜は、第1のケイ素酸素化合物、有機酸、有機溶
媒、水、及び第1の界面活性剤を含む第1のゾルゲル前
駆物質を形成し、第2のケイ素酸素化合物、リンベース
の酸、有機溶媒、水、及び第2の界面活性剤を含む第2
のゾルゲル前駆物質を形成し、第1及び第2のゾルゲル
前駆物質を混合して混合ゾルゲル前駆物質を形成し、混
合ゾルゲル前駆物質を基板上に堆積し、堆積された混合
ゾルゲル前駆物質をキュアして酸化膜を形成し、界面活
性剤除去方法へ酸化膜を露出してメゾポーラス酸化膜を
形成することによって、基板上に形成されてよい。好ま
しくは、メゾポーラス酸化膜は、リン化合物、例えば五
酸化リン(P25)の、重量で約2%〜約8%のリン濃
度、好ましくは重量で約4%のリン濃度を有する。
【0023】更に、本発明の他の様相において、デュア
ルダマシン構造形成方法が提供される。この方法は、第
1のエッチストップを基板上に堆積し、リンドープの第
1のメゾポーラス酸化膜を第1のエッチストップ上に堆
積し、第2のエッチストップを、リンドープの第1のメ
ゾポーラス酸化膜の上に堆積し、リンドープの第1のメ
ゾポーラス酸化膜をエッチングして垂直相互接続開口を
画定し、リンドープの第2のメゾポーラス酸化膜を第2
のエッチストップの上に堆積し、第3のエッチストップ
を、リンドープの第2のメゾポーラス酸化膜の上に堆積
し、ハードマスク膜を第3のエッチストップの上に堆積
し、垂直相互接続開口を介して、リンドープの第2のメ
ゾポーラス酸化膜をエッチングして垂直相互接続を更に
画定し、それによって基板を露出し、リンドープの第2
のメゾポーラス酸化膜をエッチングして水平相互接続を
画定することを含む。好ましくは、メゾポーラス酸化膜
は、リン化合物、例えば五酸化リン(P25)の、重量
で約2%〜約8%のリン濃度、更に好ましくは重量で4
%のリン濃度を有する。
【0024】図2A及び図3Aは、本発明の前述した方
法が実行されてよいキャップ層モジュールの1つの実施
形態を略図で示す。キャップ層モジュール120は、
膜、特にプラズマ化学的気相成長(PECVD)法によ
って堆積されるキャップ膜を堆積するための近真空圧処
理モジュールである。ここで、近真空圧とは、約100
トール以下の圧力として定義され、好ましくは、キャッ
プモジュールの圧力は、約0.5トール〜約10トール
のPECVDチャンバの動作圧力と同じである。モジュ
ール120は、必要な処理ユーティリティをメインフレ
ーム構造201の上に支持された自給式システムであ
る。メインフレーム構造201は、容易に取り付けられ
ることができ、操作の高速スタートアップを提供する。
モジュール120は、一般的に4つの領域を含む。即
ち、基板がモジュール120へ導入される工場インタフ
ェース122、1つ又は複数の移送チャンバであって、
その各々が基板ハンドラ127を格納し、基板ハンドラ
127が、好ましくは、工場インタフェース122内に
配置された2重スタック冷却/事前加熱ロードロックチ
ャンバ124と連絡する移送チャンバ126、移送チャ
ンバ126と連絡するように取り付けられた1つ又は複
数の、しかし好ましくは、2つのタンデム型又はツイン
型の処理チャンバ130、及びモジュール120の操作
に必要なサポートユーティリティ、例えば図2B及び図
3Bに示されるように、ガスパネル134、配電パネル
136、及びコンピュータ制御ラック138を格納する
後部140である。システムは、プラズマ化学的気相成
長(PECVD)のような様々な方法及び補助チャンバ
ハードウェアに適応するように構成されることができ
る。以下で説明する実施形態は、PECVD方法、例え
ばメゾポーラス酸化物誘電体堆積方法を使用するシステ
ムに関するものである。しかし、この他の方法も本発明
によって予想されることを理解すべきである。
【0025】図2Bは、本発明のキャップ層モジュール
120の他の実施形態を概略的に示す。キャップ層モジ
ュール120も、4つの領域を含む。即ち、基板がモジ
ュール120へ導入される工場インタフェース122、
1つ又は複数の移送チャンバであって、その各々が基板
ハンドラ127A及び127Bを格納し、基板ハンドラ
127A及び127Bが、好ましくは、工場インタフェ
ース122内に配置された2重スタック冷却ロードロッ
クチャンバ124と連絡し、また基板事前加熱ステーシ
ョン125と連絡する移送チャンバ126A及び126
B、移送チャンバ126A及び126Bに取り付けられ
て、それらと連絡する1つ又は複数の、しかし好ましく
は2つの、タンデム型又はツイン型の処理チャンバ13
0、及びモジュール120の操作に必要なサポートユー
ティリティ、例えば図2B及び図3Bに示されるよう
に、ガスパネル134、配電パネル136、及びコンピ
ュータ制御ラック138を格納する後部140である。
基板事前加熱ステーション125は、一般的に、垂直に
配置された基板ホルダを含み、基板へ熱を与える。基板
ホルダのアライメント、及び基板の加熱方法は、後で事
前加熱ロードロックチャンバ124を説明するとき、よ
り詳細に開示されるが、本発明は他の事前加熱ステーシ
ョンを予想している。
【0026】移送チャンバ 図2Aは、本発明の処理モジュール120の1つの実施
形態を示す概略平面図である。処理モジュール120
は、チャンバ側壁133の内部に移送チャンバ126を
包含する。移送チャンバは、側壁133及び底部135
を含み、好ましくは1つの材料、例えばアルミニウムか
ら機械加工又は組み立てられている。移送チャンバ12
6の蓋(図示されていない)は、操作中に真空囲いを形
成するため側壁133の上に支持される。移送チャンバ
126の側壁133は、処理チャンバ130を支持し、
工場インタフェース122のアタッチメントを提供す
る。工場インタフェース122は、1つ又は複数の冷却
/事前加熱ロードロックチャンバ124(後で図4に示
される)を含んでよい。ロードロックチャンバ124
は、スリット弁121を介して他の移送チャンバへのア
クセスを提供するか、処理チャンバ130における処理
のための基板挿入点として働いてよい。移送チャンバ1
26の側壁133は、各々の側面の上に通路128及び
132を画定し、それらの通路によって、システム上の
他のチャンバへのアクセスが提供される。側壁133を
通るように設けられた通路128及び132は、2つの
個々のスリット弁又はタンデム型スリット弁アセンブリ
を使用して、開閉されることができる。通路128は、
基板が移送チャンバ126へ導入される工場インタフェ
ース又は基板ステージング領域122へのアクセスを提
供する。通路132は、処理領域618及び620の基
板通路610へ通じ(図5に示される)、基板が処理チ
ャンバ130内の処理領域618及び620へ入って、
基板ヒータペデスタル628上に配置されることを可能
にする。
【0027】処理チャンバ130及び基板ステージング
領域122は、スリット弁開口及びスリット弁128,
132を含む。これらのスリット弁は、処理チャンバ1
30、基板ステージング領域122、及び移送チャンバ
126の間の連絡を可能にし、同時に、これらのチャン
バの各々で環境の真空隔離を提供し、システム内でステ
ージされた真空を可能にする。スリット弁、及びスリッ
ト弁の制御方法は、Tepman et al. の米国特許第5,2
26,632号や、Lorimerの米国特許第5,363,
872号に開示されている。これらの特許は、参照によ
ってここに組み込まれる。移送チャンバ126の底部1
35は、中央通路(図示されていない)を画定し、中央
通路の中で、基板ハンドラ127、例えば基板ハンドラ
アセンブリが伸びて、移送チャンバ126の底部135
へ取り付けられる。ガス追放ポート(図示されていな
い)が、ポンプダウン中に追放ガスを提供するため、移
送チャンバ126の底部135を通るように設けられ
る。
【0028】図2Bは、本発明の処理モジュール120
の他の実施形態を示す概略平面図である。処理モジュー
ル120の第2の実施形態は、チャンバ側壁133の内
部に2つの移送チャンバ126A及び126Bを含む。
移送チャンバ126A及び126Bは、相互から隔離さ
れ、好ましくは1つ又は複数の冷却チャンバ及び工場イ
ンタフェース112と垂直に配置された1つ又は複数の
事前加熱ロードロックチャンバ124を含む工場インタ
フェース122、及び1つ又は複数の処理チャンバ13
0、又は1つ又は複数の処理領域618及び620と連
絡している。移送チャンバ126A及び126Bの側壁
133は、各々の側面の上で通路128及び132を画
定する。これらの通路によって、システム上の他のチャ
ンバへのアクセスが提供される。キャップモジュールの
移送チャンバにおける基板のハンドリング図2Aを参照
すると、フロントエンドステージング領域122によっ
てキャップ層モジュール120に提供された基板は、次
のようにしてキャップ層モジュール120によって操作
される。一度、フロントエンドステージング領域122
がロードされると、ステージング領域122への移送チ
ャンバ前面真空ドア128が閉じて、移送チャンバ12
6が真空処理条件へポンプダウンされる。移送チャンバ
126は、キャップモジュール120の上に配置された
単一又は2つのオンボード真空ポンプ(図示されていな
い)によってポンプダウンされる。十分に低い圧力へ真
空ポンプされ、続いてロードロック124内で、好まし
くは事前加熱区画244(後述する図4に示される)の
中で基板を事前加熱した後、移送チャンバ126の空気
作動前面真空ドア128が開き、同時に、移送チャンバ
126とフロントエンドステージング領域122との間
のアクセスを可能にする。基板ハンドリング部材127
は、基板ステージング領域122に置かれた2重スタッ
ク冷却/事前加熱ロードロックチャンバ124に保持さ
れた基板をインデックスする。次に、移送チャンバ12
6内の基板ハンドリング部材である2重ブレード移送チ
ャンバ基板ハンドリング部材127が、フロントエンド
ステージング領域122に置かれた2重スタック冷却/
事前加熱ロードロックチャンバ124の各々のスタック
から基板を同時に検索し、キャップモジュール120の
構成に依存して、ツイン型処理チャンバ130の処理領
域618及び620へ基板を同時に移送するか、それぞ
れの基板を個々の処理チャンバ130へ同時に移送す
る。代替的に、基板は、真空ポンピングの間に、処理チ
ャンバ130へのスリット弁132の前面に、前もって
配置されてもよい。
【0029】一度、基板が堆積されると、移送チャンバ
基板ハンドラ127は、処理チャンバ130から引き出
され、スリット弁132は閉じられる。次に、高圧堆積
モジュール101の中で既に誘電層を堆積された基板
は、処理チャンバ130の中でPECVDによってキャ
ップ層を堆積される。処理が終了した後、スリット弁1
32が開かれ、移送チャンバ基板ハンドラ127は、処
理領域618及び620から基板を取り除き、2重スタ
ック冷却/事前加熱ロードロックチャンバ124の冷却
区画242の中に基板を置く。基板を事前加熱モジュー
ル124の中に置いた後、基板ハンドラは、インデック
ス順序で指示された2重スタック冷却/事前加熱ロード
ロックチャンバ124から、基板の次のペアを検索す
る。次に、この基板は、先行する基板と同じように、移
送チャンバ基板ハンドラ127によって移送され、処理
され、検索される。この方法は、事前加熱区画244の
全ての基板がPECVD処理チャンバ130の中で処理
され、冷却区画244に置かれるまで継続する。最後の
基板が処理された後、処理チャンバ130へのスリット
弁132が閉じられる。
【0030】次に、移送チャンバ126は、不活性ガ
ス、例えばアルゴンを使用して大気圧へ減圧排気され、
前面真空ドア128が開かれる。オプションとして、移
送チャンバの減圧排気は、基板の最後のペアが処理され
た後、スリット弁132が閉じられたとき、直ちに始ま
ってよい。これによって、基板の最後のセットが2重ス
タック冷却/事前加熱ロードロックチャンバ124へ戻
されているときに、移送チャンバ126の減圧排気が可
能となり、これはキャップ層モジュール120における
処理時間を縮小する。一度、減圧排気が完了すると、高
圧堆積モジュール101の移送チャンバ基板ハンドラ1
12は、2重スタック冷却/事前加熱ロードロックチャ
ンバ124から基板を検索し、処理された基板の全て
を、高圧堆積モジュール101のフロントエンドステー
ジング領域102に置かれた基板カセット104へ同時
にアンロードする。
【0031】各々のバッチにおける基板の最後のペアが
処理され、処理チャンバ130から取り除かれ、スリッ
ト弁132が閉じられた後、処理チャンバの掃除方法が
起こり、基板の次のバッチのために処理チャンバを準備
する。これは、移送チャンバ126が減圧排気され、基
板が交換されている間、掃除方法がバックグラウンドで
進行することを可能にする。
【0032】高圧堆積モジュール 本発明の他の実施形態を示す図3Aに戻ると、キャップ
層モジュール120は、基板ステージング領域122を
介して高圧堆積モジュール101へ結合される。高圧堆
積モジュール101は、好ましくは、誘電材料、例えば
後に説明するメゾポーラス酸化層を堆積し、しばしば高
圧堆積モジュールと呼ばれる。高圧堆積モジュール10
1は、膜を堆積するための近大気圧処理モジュールであ
る。ここでは、高圧又は近大気圧とは、約300トール
以上の圧力、好ましくは500トールより大きい圧力と
して定義される。
【0033】結合されたキャップ層モジュール120及
び高圧堆積モジュール101は、本発明の処理システム
100を形成する。基板ステージング領域122は、2
重スタック冷却/事前加熱ロードロックチャンバ124
を使用して、キャップ層モジュール120と高圧堆積モ
ジュール101との間で基板を移送する。高圧堆積モジ
ュール101は、好ましくは、ステージされた大気圧シ
ステムである。ステージされた大気圧システムは、それ
ぞれのスリット弁113を有する1つ又は複数の基板ス
ピンナチャンバ114、それぞれのスリット弁115を
有する1つ又は複数の基板キュアチャンバ116、それ
ぞれのスリット弁117を有する1つ又は複数の基板ス
トリッピングチャンバ118、それぞれのスリット弁1
19を有する1つ又は複数のシリル化堆積チャンバ12
3、冷却ステーション111内の2重スタック冷却ステ
ーション110、及び高圧堆積モジュール101の移送
チャンバ108に置かれた基板ハンドリング部材112
を含む。好ましくは、各々のスピンナチャンバ114、
キュアチャンバ116、ストリッピングチャンバ11
8、及びシリル化チャンバ123の少なくとも1つが存
在し、各タイプのチャンバは、高圧堆積モジュール10
1の移送チャンバ108の中で、垂直方向に間隔を空け
られたスタックとして取り付けられる。
【0034】図3Bに示されるように、チャンバ、例え
ば1つ又は複数の基板キュアチャンバ116は、空間を
効率的に節約するためロードロック124の上又は中に
取り付けられてよい。基板ハンドリング部材112は、
一般的に、2アーム基板ハンドラ112であって、好ま
しくは、独立して回転運動する2つのアームを有し、各
々のアームは、モジュール101の移送チャンバ108
内で様々なチャンバにアクセスすることができる。代替
的に、2アーム基板ハンドラ112は、タンデム型移動
アームを有してよく、好ましくは、キャップ層モジュー
ル120の基板ハンドラ127と同じモデルであってよ
い。
【0035】処理システム100の高圧堆積モジュール
101のフロントエンドステージング領域102は、典
型的には1つ又は複数の基板カセット106を有する。
基板カセット106は、ステージングプラットフォーム
102上で相互から水平に間隔を空けられた関係で取り
付けられている。ステージングプラットフォーム102
は、高圧堆積モジュール101の移送チャンバ108へ
結合される。基板カセット106は、間隔を空けられた
垂直配列としてマウントされた複数の基板を支持するよ
うに構成される。基板カセット106は、好ましくは2
つ以上のカセットプレート(図示されていない)又は他
の基板サポートを含む。これらは、積み重ねられた垂直
配列として配置された基板を支持するため、間隔を空け
られた垂直関係で配置される。冷却ステーション111
内の2重スタック冷却ステーション110とロードロッ
ク106との間に、基板台103が配置されてよく、冷
却ステーション111とロードロック106との間で基
板が交換される間、基板の冷却台を提供する。代替的
に、基板台103は、処理のためにモジュール101へ
通される基板の事前加熱ステーションを提供してよい。
【0036】一対の基板ハンドラ、又はステージング基
板ハンドラ104が、フロントエンドステージング領域
102の中に配置される。ステージング基板ハンドラ1
04は、高圧堆積モジュール101、又は高圧堆積モジ
ュール101の基板カセット106へ基板をロードする
か取り除くように構成される。その場合、ステージング
基板ハンドラ104は、好ましくは、基板カセット10
6と高圧堆積モジュール101の2重スタック冷却ステ
ーション110との間に位置決めされる。好ましくは、
ステージング基板ハンドラ104は、基板インデックス
システムを含む。基板インデックスシステムは、基板を
高圧堆積モジュール101へロードする準備として、各
々の基板カセット106内の基板をインデックスする。
本システムで有利に使用される基板マッピングシステム
を有する1つの基板ハンドラは、米国カリフォルニア州
サニーヴェイルのイクイップテクノロジーズ社から、モ
デル番号ATM 105又は107として入手すること
ができる。基板マッピングセンサは、誘電層を堆積する
ため高圧堆積モジュール101の移送チャンバ108へ
基板を移送する前に、カセット106における基板の数
及び基板の方向を検証する。
【0037】図3Aに示される高圧堆積モジュール10
1は、垂直方向に積み重ねられた2つの2重基板スピン
ナチャンバ114、垂直方向に積み重ねられた4つの基
板キュアチャンバ116の2つの列、垂直方向に積み重
ねられた4つのツイン型基板ストリッピングチャンバ1
18、及びシリル化堆積チャンバ123を含む。垂直方
向に積み重ねられたチャンバの全ては、チャンバ11
4,116,118,123に対して中央に配置された
基板ハンドラ112に対面している。
【0038】高圧堆積モジュールにおける基板のハンド
リング誘電体基板のハンドリング方法は、ステージング
基板ハンドラ104が、各々の基板カセット106内の
基板をインデックスすることから始まる。一度、インデ
ックスされると、基板は、ステージング基板ハンドラ1
04によって、冷却ステーション111内の2重スタッ
ク冷却ステーション110へ移送される。高圧堆積モジ
ュールの基板ハンドラ112は、2重スタック冷却ステ
ーション110から基板を検索し、ゾルゲル前駆物質層
を堆積するため誘電体基板スピンナチャンバ114へ基
板を移送する。モジュール基板ハンドラ112は、処理
が起こる前に基板スピンナチャンバ114を満たすか、
1つ又は複数のスピンナモジュール114が基板を処理
している間、複数のスピンナチャンバが基板スピンナモ
ジュール114の中に基板を配置するようにプログラム
されてよい。一度、ゾルゲル前駆物質が堆積されると、
モジュール基板ハンドラ112は、基板を検索し、キュ
ア又はベーキングチャンバ116へ基板を移送する。誘
電層堆積シーケンスにおける他のステップと比較したキ
ュアの相対的長さのために、比例的に大きな数のキュア
チャンバ116、好ましくは、2つの2重基板スピンナ
チャンバ114ごとに約8つのキュアチャンバが、モジ
ュール101の移送チャンバ108の内部に置かれる。
モジュール基板ハンドラ112は、所望に応じて、処理
前にキュアチャンバ116をスピンオンで堆積された基
板で満たすようにプログラムされるか、又はキュアチャ
ンバ116へ基板をロード及びアンロードするようにプ
ログラムされてよい。所望量のキュアが達成された後、
基板は基板ストリッピングチャンバ118へ移送され
る。基板は、キュアされたゾルゲル前駆物質内に残る界
面活性剤を除去するためオゾンストリッパの中に置かれ
る。図示されてはいないが、基板をアニールして、水
分、溶媒、又は界面活性剤を基板から取り除き、オゾン
ストリップのために基板を準備するか、オゾンストリッ
ピング以外の方法でメゾポーラス膜を形成する代替方法
を提供するため、オプションのアニールチャンバが、モ
ジュール101の移送チャンバ108の中に配置されて
よい。
【0039】もし堆積された誘電膜がシリル化されるの
であれば、次に基板は、基板ストリッピングチャンバ1
18から検索され、シリル化チャンバ123へ移送され
る。代替的に、キャップ層を堆積するため、基板は、キ
ャップ層モジュール120の基板ステージング領域12
2へ移送される。一度、シリル化チャンバ123又はキ
ャップモジュール120のいずれかによって処理される
と、基板ハンドラ112は基板を検索し、2重スタック
冷却ステーション110を介して、基板を基板カセット
106へ移送する。
【0040】フロントエンドステージング領域 図2及び図3に戻る。工場インタフェース又は基板ステ
ージング領域122は大気圧装置である。この装置は、
基板ステージング領域から、典型的に大気圧又は近大気
圧で動作するチャンバ、例えば真空ポンピングの前の高
圧堆積モジュール101へ、高速移送を可能にする。図
3Aは、モジュール101のフロントエンドステージン
グ領域102を示す。モジュール101は、好ましくは
2重スタック冷却/事前加熱ロードロックチャンバ12
4を含む。ロードロックチャンバ124は、処理のため
その中に取り付けられた1つ又は複数の基板カセットを
有する。基板カセットは、間隔を空けた垂直関係で複数
の基板を支持するように設計され、基板ハンドリング部
材112,127は、基板カセットの対向する側に基板
を配置及び検索してよい。図2Aに示される代替の実施
形態では、ロードロックチャンバ124は、モジュール
101と120との間で基板を運送するための冷却ステ
ーションとしても機能し、事前加熱は別個のチャンバで
実行される。
【0041】処理の前又は後で冷却/事前加熱ロードロ
ックチャンバ124に格納された基板は、移送チャンバ
側壁133に配置された1つ又は複数の移送チャンバド
ア128(図2Aに示される)を介して、モジュール1
20へロードされる。移送チャンバ126内の基板ハン
ドラ127は、冷却/事前加熱ロードロックチャンバ1
24及び移送チャンバドア128に隣接して置かれる。
好ましくは、基板ハンドラ127は、移送チャンバ12
6へ取り付けられた処理チャンバ130へ基板をロード
及びアンロードする準備として各々の基板カセット内で
基板をインデックスする基板マッピングシステムを含
む。
【0042】基板ハンドラ127は、他の基板ハンドラ
112(図3Aに示される)と同時に、ロードロックチ
ャンバ124へ入ることができる。なぜなら、ロードロ
ックは、高圧堆積モジュール101からロードロックチ
ャンバ124へ基板を移送するための大気圧にあるから
である。移送チャンバ126の側面128における開口
は、移送チャンバ126の真空ポンピングに先立って閉
じられているであろう。それは、キャップ層を堆積する
ため基板を処理チャンバ130へ移送する前に行われ
る。
【0043】2重位置ロードロックチャンバ 図4は、本発明の冷却/事前加熱ロードロックチャンバ
のカッタウェイ斜視図を示す。冷却/事前加熱ロードロ
ックチャンバ124は、チャンバ壁202、底部20
4、及び蓋206を含む。チャンバ124は、2つの別
々の環境又は区画242,244及び移送領域246を
含む。区画242,244は、基板を支持するため各々
の区画242,244の中に基板カセットを含む。各々
の区画242,244は、区画242,244の底部及
び上部を画定するため、支持プラットフォーム248及
び上部プラットフォーム250を含む。支持壁252
は、間隔を空けた関係でプラットフォーム248,25
0を支持するため区画242,244内で垂直に配置さ
れてよい。移送領域246は、冷却/事前加熱ロードロ
ックチャンバ124から移送チャンバ108,126へ
のアクセスを提供する1つ又は複数の通路121を含
む。通路121は、好ましくは、スリット弁及びスリッ
ト弁作動器を使用して開閉される。
【0044】区画242は、移送チャンバ108の処理
チャンバ又はキャップモジュール120内の処理に続く
冷却ステーションを提供する。図2Aに示される代替の
実施形態では、区画242,244の双方は、移送チャ
ンバ108の処理チャンバ又はキャップモジュール12
0における処理に続く冷却ステーションを提供してよ
い。
【0045】区画244は、区画242に関して選択的
に加熱され、それによってキャップモジュール120の
処理チャンバ130で基板を処理する前の事前加熱モジ
ュールとして働く。加熱区画244は、基板を個別的に
加熱するため、好ましくは、加熱ヒータ、例えば加熱ラ
ンプ、流体熱交換器、又は抵抗加熱ヒータを有するか、
代替的に、区画244内の全ての基板を同時に加熱する
加熱ヒータを有してよい。ロードロック124の他の実
施形態では、キュアモジュール116が事前加熱区画2
44の中に取り付けられ、それによって、モジュール1
20内で処理する前に、堆積された膜のキュア又は基板
の事前加熱を提供し、同時に空間を効率的に節約する。
【0046】区画242,244の各々は、昇降シャフ
ト224へ接続される。昇降シャフトの各々は、冷却/
事前加熱ロードロックチャンバ124内で区画を上方又
は下方へ動かすため、モータ、例えばステッピングモー
タなどへ接続される。区画242の支持プラットフォー
ム248に密封面を提供するため、密封フランジ256
が、冷却/事前加熱ロードロックチャンバ124の中で
周辺部に配置される。区画244の支持プラットフォー
ム250に密封面を提供するため、密封フランジ258
が同様に配置される。冷却/事前加熱ロードロックチャ
ンバ124内で区画242,244のステージされた真
空を独立的に提供するため、区画242,244は密封
フランジ256,258によって相互から隔離される。
【0047】背面圧力は、空間260,262に配置さ
れた真空ポートを介してそれらの空間で維持される。高
真空が空間260,262に提供されて、密封フランジ
256,258に対するプラットフォーム248,25
0の密封を助けることができるように、真空ポンプが減
圧排気ラインを介して空間260,262へ接続され
る。
【0048】動作において、区画242,244は、図
4に示される位置でロード又はアンロードされることが
できる。ローディングドア及び作動器(図示されていな
い)は、前壁(図示されていない)を介して、区画24
2,244に対応する冷却/事前加熱ロードロックチャ
ンバ124の上限及び下限に設けられる。選択された区
画内の圧力は、基板が減圧排気ライン287,289を
介して区画へロードされた後にポンプダウンされ、選択
された区画が移送領域246の中へ動かされる。区画2
42,244は、ステッピングモータによって移送領域
246へ独立的に動かされる。上方区画242及び下方
区画244を設けることの利点は、基板の第1のセット
が1つの区画へロードされる間に、基板の第2のセット
の処理が可能であること、及び、区画が移送領域246
へ移されて、移送チャンバ108,126と連絡できる
ように、区画が適切な圧力へポンプダウンされることで
ある。
【0049】移送チャンバの基板ハンドラ 図5は、本発明の磁気結合基板ハンドラ500の1つの
実施形態を概略平面図で示す。図5において、ハンドラ
500は、移送チャンバ126(及び、代替的に、前に
詳細に説明した移送チャンバ108)の中で自由に回転
するように収縮位置にある。2重基板ハンドラブレード
520,522を有する基板ハンドラは、1つのチャン
バから他のチャンバへ基板502を移送するため、移送
チャンバ126の中に置かれる。本発明で修正され有利
に使用されることのできる「超高生産性」(VHP)タ
イプの基板ハンドラは、1995年11月21日発行
の、標題"Two-axis Magnetically Coupled Substrate H
andler"(2軸磁気結合基板ハンドラ)の米国特許第
5,469,035号の主題である。この特許は、参照
によってここに組み込まれる。
【0050】磁気結合基板ハンドラ500は、2つの真
空側ハブ(磁気クランプとも呼ばれる)と2重基板ブレ
ード520,522との間に接続されたカエル足型アセ
ンブリを有し、固定された平面の中で基板ハンドラブレ
ードの半径及び回転運動を提供する。半径及び回転運動
は、システム100内の1つのロケーションから他のロ
ケーションへ、例えば1つの処理チャンバ130から他
のチャンバ、例えばロードロック124へ、2つの基板
をピックアップ、移送、及び引き渡すために調整又は結
合されることができる。図2Bに示される実施形態で
は、単一アームのロボットが、移送チャンバ126A及
び126Bに配置される。
【0051】基板ハンドラは、第1の磁石クランプ52
4へ点525で強固に取り付けられた第1のストラット
504、及び第2の磁石クランプ526(第1の磁石ク
ランプ524の下で同軸に配置される)へ点527で強
固に取り付けられた第2のストラット506を含む。第
3のストラット508は、ピボット510によってスト
ラット504へ取り付けられ、ピボット512によって
基板ブレードアセンブリ540へ取り付けられる。第4
のストラット514は、ピボット516によってストラ
ット506へ取り付けられ、ピボット518によって基
板ブレードアセンブリ540へ取り付けられる。ストラ
ット504,508,506,514及びピボット51
0,512,516,518の構造は、基板ブレードア
センブリ540と磁石クランプ524,526との間で
「カエル足」型接続を形成する。
【0052】磁石クランプ524,526が同じ方向の
同じ角速度で回転するとき、基板ハンドラ500も、こ
の同じ方向の同じ速度で軸Aの周りを回転する。磁石ク
ランプ524,526が、同じ絶対角速度で反対方向に
回転するとき、アセンブリ500の回転は存在せず、そ
の代わりに、基板ブレードアセンブリ540が図6に示
される位置へ移動する線形半径運動が存在する。
【0053】個々の基板ブレード520,522を、移
送チャンバ126の側壁133にある個々の基板通路1
32の中へ伸ばして、チャンバ130の処理領域61
8,620との間で基板502を移送できることを示す
ため、2つの基板502が基板ブレードアセンブリ54
0の上にロードされたように示される。磁気結合された
基板ハンドラ500は、2つのモータの相対的速度に対
応する磁石クランプ524,526の相対的回転運動に
よって制御される。双方のモータによって磁石クランプ
524,526が同じ速度で同じ方向に回転する第1の
動作モードが提供される。このモードは、磁石クランプ
の相対運動を生じないので、基板ハンドラは、中央軸A
の周りを回転するだけであり、典型的には、一対の処理
領域618,620と基板を交換するのに適した位置か
ら、他の対の処理領域と基板を交換するのに適した位置
へ回転するだけである。
【0054】更に、十分に収縮した基板ハンドラが中央
軸Aの周りを回転するとき、基板の端部に沿った最外部
半径点548は、基板ハンドラを回転するのに必要な最
小円形領域550を画定する。更に、磁気結合された基
板ハンドラは、双方のモータによって磁石クランプ52
4,526が同じ速度で反対方向に回転する第2のモー
ドを提供する。この第2のモードは、基板ブレードアセ
ンブリ540の基板ブレード520,522を、通路1
32を介して処理領域618,620の中へ伸ばすか、
又は逆に、ブレードをそこから引き出すために使用され
る。基板ハンドラ500が軸Aの周りを回転していると
き、モータ回転の他の組み合わせを使用して、基板ブレ
ードアセンブリ540の伸長又は収縮を同時に提供する
ことができる。
【0055】基板ブレードアセンブリ540の基板ブレ
ード520,522を回転軸Aから半径方向の外へ向け
て維持するため、ピボット又はカム512,518の間
で、各々のピボットの同等及び反対角回転を確実にする
インターロッキング機構が使用される。インターロッキ
ング機構は、連動ギア又は数字8のパターン又は同等パ
ターンでピボットの周りを引っ張られるストラップを含
む多くの設計であってよい。1つの好ましいインターロ
ッキング機構は、基板ブレードアセンブリ540のピボ
ット512,518の間で結合及び伸長される一対のメ
タルストラップ542及び544である。ストラップ5
42,544は、ピボット512,518を接続する。
ストラップ542,544は個別に調節可能で、1つが
他方の上に位置することが好ましい。図5及び図6で
は、U形二重ブレードのベースで、ストラップが棒54
6の周りを通るように示される。二重ブレードタンデム
型基板ハンドラが移送チャンバ126で使用されると
き、好ましくは、これまで説明した基板ハンドラが使用
される。
【0056】図6は、図5の基板ハンドラのアームブレ
ードアセンブリが、伸長位置にある場合を示す。この伸
長は、磁石クランプ526が時計方向に回転し、同時に
磁石クランプ524が反時計方向に等しく回転すること
によって達成される。基板ブレードアセンブリ540の
個々のブレード520,522は十分に長く、通路13
2を通って伸長し、ペデスタル628(図8を参照)の
上に基板502をセンタリングする。一度、基板502
が一対のリフトピンアセンブリによってブレードから上
げられると、ブレードは収縮され、通路132は、前述
したようにスリット弁及び作動器によって閉じられる。
【0057】ストリッピングチャンバ 図7は、本発明の例示的基板ストリッピングチャンバの
断面図である。更に具体的には、図7は、堆積された膜
の非反応性ガスアニール及び酸化ガスストリップの双方
を行うことができる高速熱アニールチャンバである。基
板ストリッピングチャンバ又は高速熱アニール(RT
A)チャンバ118は、好ましくは移送チャンバ108
へ接続される。図2及び図3に示されるような高圧堆積
モジュール101は、好ましくは2つのRTAチャンバ
118を含む。RTAチャンバ118は、好ましくは、
キャップモジュール120とは反対の、移送チャンバ1
08の側に配置され、基板は、基板ハンドラ112によ
ってRTAチャンバ118との間を移送される。
【0058】熱アニール処理チャンバは、一般的に当業
者によく知られており、高速熱アニールチャンバは、堆
積された物質の特性を変更するため、典型的に基板処理
システムで使用される。本発明に従えば、反応性ガスが
存在する高温アニールによって界面活性剤のストリップ
を実行するか、又は露出された膜の酸化を実行して界面
活性剤を除去するため、アニーリングチャンバ118が
使用される。本発明に有用な1つの具体的な熱アニール
チャンバは、米国カリフォルニア州サンタクララのアプ
ライドマテルアルス社より入手可能なWxZチャンバで
ある。本発明は、ホットプレート高速熱アニールチャン
バを使用して説明されるが、本発明は、本発明の方法を
実行するのに適切な他の熱アニールチャンバの応用も予
想している。
【0059】RTAチャンバ118は、一般的に、囲い
902、ヒータプレート904、ヒータ907、及び複
数の基板支持ピン906を含む。囲い902は、ベース
908、側壁910、及び上部912を含む。好ましく
は、コールドプレート913が、囲いの上部912の下
に配置される。代替的に、コールドプレートは、囲いの
上部912の一部分として、一体的に形成される。好ま
しくは、反射絶縁皿914が、囲い902の内部でベー
ス908の上に配置される。反射絶縁皿914は、典型
的には石英、アルミナ、又は高温(即ち、約500℃以
上)に耐えてヒータ907と囲い902との間で熱絶縁
体として働くことのできる他の材料から作られる。更
に、皿914は、熱をヒータプレート906へ戻すため
反射性材料、例えば金でコーティングされてよい。
【0060】ヒータプレート904は、好ましくは、シ
ステム内で処理されている基板と比較して大きな量を有
し、好ましくは、RTAチャンバ118内の周囲ガス又
は基板材料と反応しない炭化ケイ素、石英、又は他の物
質から作られる。ヒータ907は、典型的には、抵抗加
熱ヒータ又は伝導/放射加熱源を含み、ヒータプレート
906と反射絶縁皿914との間に配置される。ヒータ
907は電源916へ接続され、電源916はヒータ9
07を加熱するために必要なエネルギーを与える。好ま
しくは、熱伝対920が導管922の中に配置される。
導管922は、ベース908と皿914とを通り、ヒー
タプレート904の中へ伸びている。熱伝対920は、
コントローラ921へ接続され、温度測定値をコントロ
ーラ921へ与える。従って、コントローラ921は、
温度測定値及び所望されるアニール温度に従って、ヒー
タ907から与えられた熱を増加又は減少する。
【0061】囲い902は、好ましくは、囲い902を
冷却するため外側に配置されて側壁910と熱接触する
冷却部材918を含む。代替的に,1つ又は複数の冷却
チャネル(図示されていない)が側壁910の内部に形
成されて、囲い902の温度を制御する。上部912の
内面に配置されたコールドプレート913は、そのすぐ
近くに置かれた基板を冷却する。
【0062】RTAチャンバ118は、囲い902の側
壁910の上に配置されてRTAチャンバ118との間
の基板の移送を容易にするスリット弁922を含む。ス
リット弁922は、囲いの側壁910の上にあって移送
チャンバ108と連絡する開口924を選択的に密封す
る。基板ハンドラ112は、開口924を介してRTA
チャンバとの間で基板を移送する。
【0063】基板支持ピン906は、好ましくは、石
英、酸化アルミニウム、炭化ケイ素、又は他の耐高熱性
材料から構成された遠位テーパ部材を含む。各々の基板
支持ピン906は、好ましくは耐熱及び耐酸化性材料か
ら作られた管状導管926内に配置される。導管926
は、ヒータプレート904を通って伸びる。基板支持ピ
ン906は、基板支持ピンを均一様式で動かすため、リ
フトプレート928へ接続される。リフトプレート92
8は、RTAチャンバ内の様々な垂直位置に基板を位置
決めするのを容易にするため、リフトプレート928を
動かすリフトシャフト932を介して、作動器930、
例えばステッピングモータへ取り付けられる。リフトシ
ャフト932は、囲い902のベース908を通って伸
び、シャフトの周りに配置された密封フランジ934に
よって密封される。
【0064】基板をRTAチャンバ118の中へ移送す
るため、スリット弁922が開かれ、ローディングステ
ーション基板移送ハンドラ228が、基板を置かれた基
板ハンドラブレードを、開口924を介してRTAチャ
ンバの中へ伸ばす。ローディングステーション基板移送
ハンドラ228の基板ハンドラブレードは、RTAチャ
ンバの中でヒータプレート904の上に基板を置き、基
板支持ピン906が上方へ伸ばされて、基板を基板ハン
ドラブレードの上に上げる。次に、基板ハンドラブレー
ドは、RTAチャンバから収縮し、スリット弁922が
開口を閉じる。次に、基板支持ピン906が収縮して、
ヒータプレート904から所望の距離へ基板を下げる。
オプションとして、基板支持ピン906が完全に収縮し
て、基板をヒータプレートと直接接触するように置いて
よい。
【0065】好ましくは、ガス入り口936が、囲い9
02の側壁910を通るように配置され、選択されたガ
スが、アニール処理方法の間にRTAチャンバ118へ
流れ込むようにされる。ガス入口936は、ガスがRT
Aチャンバ118へ流れるのを制御するため、弁940
を介してガス源938へ接続される。ガス源938は、
高温アニーリングのための非反応性ガスを提供すること
ができ、又は、露出された基板膜を酸化するため、酸化
ガス、好ましくはオゾンプラズマをアニーリングチャン
バ118へ提供する遠隔ユニットであることができる。
ガス出口942が、RTAチャンバ内のガスを減圧排気
するため、好ましくは、囲い902の側壁910の低部
に配置され、好ましくは、リリーフ/チェック弁944
へ接続されて、チャンバの外側から大気が逆流するのを
防止する。オプションとして、ガス出口942が真空ポ
ンプ(図示されていない)へ接続され、アニール処理中
に所望の真空レベルへRTAチャンバを減圧排気する。
【0066】本発明に従えば、基板は、酸化膜の堆積後
に、RTAチャンバ118の中でアニールされる。好ま
しくは、高温非反応性ガスアニールのためには、RTA
チャンバ118は、ほぼ大気圧に維持され、RTAチャ
ンバ118内の酸素含量は、アニール処理中に約100
ppmより少なくなるように制御される。好ましくは、
RTAチャンバ118内の周囲環境は、窒素(N2)、
又は窒素(N2)と約4%よりも少ない水素(H2)との
組み合わせを含み、RTAチャンバ118への周囲ガス
流は、酸素含量が100ppmより少なくなるように制
御するため、20リットル/分より大に維持される。基
板は、約200℃〜約450℃の温度で、約30秒〜3
0分の間、更に好ましくは、約400℃〜約450℃の
温度で、約30秒〜5分の間、アニールされる。高速熱
アニール処理は、典型的には、少なくとも1秒当たり5
0℃の温度上昇を必要とする。アニール処理の間、必要
な温度増加率を基板に提供するため、ヒータプレート
は、好ましくは、約350℃〜約450℃に維持され、
基板は、好ましくは、アニール処理の継続中に、ヒータ
プレートから約0mm(即ち、ヒータプレートと接触す
る)〜約20mmの距離に位置決めされる。
【0067】基板の酸化ストリップのためには、RTA
チャンバ118は、約1トール〜約10トールの圧力に
維持され、酸化ガスは、酸素又はオゾンを高温で含む
か、酸素含有プラズマを含む。好ましくは、酸化は、酸
素に敏感でないか反応しない物質を含む基板表面上で実
行される。好ましくは、RTAチャンバ118への酸化
ガス流は、基板上で露出された膜の完全な酸素ストリッ
プを提供するため、高い流量、例えば(20)リットル
/分より大に維持される。酸素ストリップ処理の間、基
板は、約200℃〜約450℃の温度で、約30秒〜3
0分の間、更に好ましくは、約350℃〜約400℃
で、約30秒〜5分の間、加熱される。酸化ガスは、酸
素源(図示されていない)から受け取られる。更に、酸
素源は、ガスを処理して、遠隔プラズマRF発振器又は
遠隔マイクロ波発振器から酸素種を提供してもよい(図
示されていない)。
【0068】ストリッピング処理が完了した後、基板支
持ピン906は、RTAチャンバ118の外へ移送する
位置へ基板を上げる。スリット弁922が開き、移送チ
ャンバ108の基板ハンドラ112がRTAチャンバの
中へ伸ばされ、基板の下に位置決めされる。基板支持ピ
ン906は収縮して、基板ハンドラブレードの上まで基
板を低くし、次に、基板ハンドラブレードは、RTAチ
ャンバの外へ収縮する。
【0069】処理チャンバ 図8は、タンデム型処理チャンバ130の1つの実施形
態を斜視図で示す。チャンバ本体602は、移送チャン
バ126へ取り付けられるか接続され、個々の基板が同
時に処理される2つの処理領域を含む。チャンバ本体6
02は、そこへ蝶番式に取り付けられた蓋604を支持
し、1つ又は複数のガス分配システム608を含む。ガ
ス分配システム608は、反応性ガス及び掃除ガスを複
数の処理領域へ送るため、本体部分602を通るように
配置される。
【0070】図9は、2つの処理領域618,620を
画定するチャンバ126の概略断面図である。チャンバ
本体602は、2つの処理領域618,620を画定す
る側壁612、内壁614、及び底壁616を含む。各
々の処理領域618,620内の底壁616は、少なく
とも2つの通路622,624を画定する。それらの通
路を通して、ペデスタルヒータ628の心棒626、及
び基板リフトピンアセンブリの棒630が、それぞれ配
置される。ペデスタルリフトアセンブリ及び基板リフト
は、後で詳細に説明される。
【0071】側壁612及び内壁614は、2つの円筒
管状処理領域618,620を画定する。処理領域61
8,620からガスを減圧排気し、各々の領域618,
620内の圧力を制御するため、周辺ポンピングチャネ
ル625が、円筒状処理領域618,620を画定する
チャンバ壁に形成される。各々の処理領域の横方向境界
を画定し、腐食性処理環境からチャンバ壁612,61
4を保護し、電極間に電気絶縁プラズマ環境を維持する
ため、好ましくはセラミックなどから作られたチャンバ
ライナ又はインサート627が、各々の処理領域61
8,620の中に配置される。ライナ627は、チャン
バの中で、各々の処理領域618,620の壁612,
614に形成された棚629の上に支持される。ライナ
は、複数の減圧排気ポート631又は周辺スロットを含
み、これらはライナを通るように設けられ、チャンバ壁
に形成されたポンピングチャネル625と連絡する。好
ましくは、各々のライナ627を通るように設けられた
約24のポート631が存在し、それらは約15°の間
隔で処理領域618,620の周辺に配置される。24
のポートが好ましいが、所望のポンピングレート及び均
一性を達成する任意数のポートを使用することができ
る。処理中に基板上へ最適のガス流パターンを提供する
ため、ポートの数に加えて、ガス分配システムの面板に
対するポートの高さが制御される。
【0072】図11は、本発明の減圧排気システムを示
すチャンバの断面図である。各々の処理領域618,6
20のポンピングチャネル625は、好ましくは、共通
減圧排気チャネル619を介して共通減圧排気ポンプへ
接続される。減圧排気チャネル619は、減圧排気導管
621によって各々の領域618,620のポンピング
チャネル625へ接続される。減圧排気チャネル619
は、減圧排気ライン(図示されていない)を介して減圧
排気ポンプ(図示されていない)へ接続される。各々の
領域は、好ましくは、ポンプによって、選択された圧力
へポンプダウンされ、接続された減圧排気システムは、
各々の領域内の圧力の均等化を可能にする。ポンプは、
好ましくは、非常に低い振動でミリトール圧力を提供す
ることのできる高真空ターボポンプである。有利に使用
される1つの真空源は、エドワードハイバキューム社よ
り入手することができる。
【0073】図9に戻ると、更に処理領域618,62
0の各々は、好ましくは更にガス分配アセンブリ608
を含む。ガス分配アセンブリ608は、ガスを処理領域
618,620へ、好ましくは同じガス源から供給する
ため、チャンバ蓋604を通るように設けられる。各々
の処理領域のガス分配システム608は、ガスをシャワ
ヘッドアセンブリ642へ供給するガス入口通路640
を含む。シャワヘッドアセンブリ642は、面板646
との中間に配置されるブロッカプレート644を有する
管状ベースプレート648を含む。シャワヘッドアセン
ブリの面板646とヒータペデスタル628との間でプ
ラズマの発生を容易にするため、RFフィードスルーが
シャワヘッドアセンブリへバイアス電位を提供する。操
作中にベースプレート648を冷却するため、冷却チャ
ネル652が、各々のガス分配システム608のベース
プレートの中に形成される。入口655は、冷却液、例
えば水などを、冷却液ライン657によって相互に接続
されたチャネル652の中へ送る。冷却液は、冷却液出
口659を介してチャネルから出る。代替的に、冷却液
は、マニホルドを介して循環する。
【0074】チャンバ本体602は、選択された処理に
適した各々の反応性ガス及び掃除ガスのために複数の垂
直ガス通路を画定する。これらのガスは、ガス分配シス
テムを介してチャンバへ送られる。チャンバ壁に形成さ
れたガス通路をガス入口ライン639へ接続するため、
ガス入口接続641が、チャンバ126の底に配置され
る。図11に示されるように、蓋との密封接続を提供す
るため、チャンバ壁の上面でチャンバ壁を通るように形
成された各々のガス通路の周りに、Oリングが設けられ
る。蓋は、図10に示されるように、チャンバ壁の下部
からチャンバ蓋の上部に置かれたガス入口マニホルド6
70へガスを送るためのマッチング通路を含む。反応性
ガスは、電圧勾配フィードスルー672を介して、ガス
分配アセンブリへ接続されたガス出口マニホルド674
へ送られる。
【0075】ガス入力マニホルド670は、チャンバガ
スフィードスルーから、接地される一定電圧勾配ガスフ
ィードスルーへ、処理ガスを導く。ガス導入管(図示さ
れていない)は、電圧勾配ガスフィードスルー672を
介して、出口マニホルド674へ処理ガスを給送する。
フィードスルーに線形電圧降下を生じさせて、チャンバ
内のプラズマがガス導入管を上昇しないように、抵抗ス
リーブがガス導入管を取り巻いている。ガス導入管は、
好ましくは石英から作られ、スリーブは、好ましくは複
合セラミックから作られる。ガス導入管は絶縁ブロック
内に配置される。絶縁ブロックは、温度を制御し、熱放
射を防止し、更に処理ガスの液化を防止する冷却液チャ
ネルを含む。好ましくは、絶縁ブロックは、デルリンア
セタール樹脂から作られる。石英導入管はガスをガス出
力マニホルド674へ送る。ガス出力マニホルド674
は、処理ガスをブロッカプレート644及びガス分配プ
レート646へ導く。
【0076】更に、ガス入力マニホルド670(図10
を参照)は、チャンバガスフィードスルーから遠隔プラ
ズマ源(図示されていない)へ掃除ガスを送る通路を画
定する。これらのガスは、電圧勾配フィードスルーをバ
イパスし、遠隔プラズマ源へ導入される。遠隔プラズマ
源で、ガスは様々な励起種へ活性化される。次に、励起
種は、ガス入口通路640の中に配置された導管を介し
て、ブロッカプレートの真下の点で、ガス分配プレート
へ渡される。
【0077】各々の処理領域のガス分配システムへガス
を提供するガスライン639は、好ましくは、単一のガ
ス源ラインへ接続され、従って、ガスを各々の処理領域
618,620へ供給するため、共用又は共通に制御さ
れる。処理ガスをマルチゾーンチャンバへ導入するガス
ラインは、複数の処理領域へガスを導入するためにT型
カップリングによって分割される。各々の処理領域へ入
る個々のラインへの流入を容易にするため、スプリッタ
からのガスライン上流に、フィルタ、例えば焼結された
ニッケルフィルタが配置される。フィルタは、別々のガ
ス導入ラインへのガスの均一分配及び流入を向上させ
る。
【0078】ガス分配システムはベースプレート648
を含み、ベースプレート648は、その下面に隣接して
配置されたブロッカプレート644を有する。面板64
6は、ガスを処理領域618,620へ送るため、ブロ
ッカプレート644の下に配置される。1つの実施形態
において、ベースプレート648は、ブロッカプレート
644の真上の領域へ処理ガスを送るため、その中にガ
ス通路を画定する。ブロッカプレート644は、その上
面の上で処理ガスを分散させ、面板646の上にガスを
送る。ブロッカプレート644内の孔は、面板646上
での処理ガスの混合及び分配を向上させるようにサイズ
及び位置を決められることができる。次に、面板646
へ送られたガスは、処理領域618,620の中の、処
理のために置かれた基板の上へ、均一様式で送られる。
【0079】ガス導入管(図示されていない)は、ガス
通路に置かれ、遠隔プラズマ源からの出力ラインへ一端
を接続される。ガス導入管の一端は、遠隔プラズマ源か
らのガスを送るため、ガス出力マニホルドを通って伸び
る。ガス導入管の他端は、ブロッカプレート644を越
えて面板646の真上にある領域へガスを送るため、ブ
ロッカプレート644を通るように配置される。面板6
46は、ガス導入管を介して送られたガスを分散させ、
そのガスを処理領域へ送る。
【0080】これは、好ましいガス分配システムである
が、遠隔プラズマ源からのガスは、チャンバ壁を通るよ
うに設けられるポート(図示されていない)を介して、
処理領域618,620へ導入されることができる。更
に、処理ガスは、現在入手可能な任意のガス分配システ
ム、例えば米国カリフォルニア州サンタクララのアプラ
イドマテリアルス社より提供されるガス分配システムを
介して送られることができよう。 ヒータペデスタル 図9は、各々の処理領域618,620で心棒626に
よって移動可能に配置されたヒータペデスタル628を
示す。心棒626は、支持プレートの下面に接続され、
チャンバ本体602の底を通って伸び、駆動システム6
03へ接続される。心棒626は、好ましくは円形、管
状、アルミニウム部材であり、ヒータペデスタル628
の下面と接触支持するように配置された上端、及びカバ
ープレートで封鎖された下端を有する。心棒の下端は、
カップ形スリーブの中に受け取られ、このスリーブは心
棒から駆動システムへの接続部を形成する。心棒626
は、処理領域内でヒータペデスタル628を機械的に位
置決めし、複数のヒータプレート接続部が伸びることの
できる周囲通路を形成する。各々のヒータペデスタル6
28は、その上に置かれた基板を所望の処理温度へ加熱
するヒータを含んでよい。ヒータは、例えば抵抗加熱ヒ
ータを含んでよい。代替的に、ヒータペデスタルは、ラ
ンプのような外部ヒータによって加熱されてよい。本発
明で有利に使用されるペデスタルは、米国カリフォルニ
ア州サンタクララのアプライドマテリアルス社より入手
可能である。更に、ペデスタルは、処理中に基板をその
上で確保するため、静電チャック、真空チャック、又は
他のチャック装置を支持してよい。
【0081】ヒータペデスタル628は、線形電気作動
器(図示されていない)を有する駆動システム603に
よって、処理、掃除、リフト、及び解放位置へ移送ハウ
ジングを上下に動かすことによって上昇及び降下され
る。移送ハウジングは、1つの側で作動器へ接続され、
他の側でキャリッジプレート(図示されていない)を介
して線形スライド(図示されていない)へ接続される。
作動器とキャリッジとの間の接続は、不整合を許す柔軟
な(ボール及びソケット)ジョイント(図示されていな
い)を介して行われる。線形スライド及びキャリッジプ
レートは、回転及び屈曲を防止するため、相互に対して
バイアスされる。ベローズが、ヒータペデスタル628
の心棒626を取り巻き、一端でチャンバ底部616へ
接続され、他端で移送ハウジングへ接続される。心棒の
下端の外面をスリーブ624の中に密封するため、密封
リング(図示されていない)が、心棒626内の溝63
0に設けられる。面板646に関するヒータペデスタル
628の平準化は、3つのネジを使用して達成される。
【0082】代替的に、駆動システム603は、モータ
及び減速歯車アセンブリ(図示されていない)を含む。
このアセンブリは、チャンバ130の下に吊り下げら
れ、共形のな結合及び親ネジアセンブリへの駆動ベルト
へ接続される。移送ハウジングは、親ネジアセンブリの
上に受け取られる。親ネジアセンブリは、上下に案内さ
れ、線形スライドによって非回転に保持される。ヒータ
リフト機構が、駆動カラーを使用してチャンバ130に
対して保持される。ヒータペデスタル628は、ステッ
ピングモータによって駆動される親ネジによって上昇及
び降下される。ステッピングモータは、モータブラケッ
トによってヒータリフトアセンブリへ取り付けられる。
ステッピングモータは、ベローズ内の親ネジを駆動す
る。ベローズは、親ネジを回して、ヒータアセンブリを
処理、リフト、及び解放位置へ上昇又は降下させる。心
棒626の下端の外面をスリーブ内に密封するため、密
封リングが心棒626内の溝に設けられる。 基板位置決めアセンブリ 図8及び図9を参照すると、心棒626は、チャンバ内
で上下に移動してヒータペデスタル628を動かし、基
板をペデスタルの上に置くか、そこから処理のために取
り除く。基板位置決めアセンブリは複数の支持ピン65
1を含む。支持ピン651は、ヒータペデスタル628
に関して垂直に移動し、ペデスタルを通って垂直に配置
された穴653の中へ受け取られる。各々のピン651
は、下方球形部分661で終わる円筒状シャフト65
9、及びシャフトの外側延長として形成された上方円錐
台ヘッド663を含む。ヒータペデスタル628内の穴
653は、ピン651がヒータペデスタル628の中へ
完全に受け取られたとき、円錐形ヘッド663がヒータ
ペデスタルの表面の上へ出ないように、ヘッド663を
受け取る大きさの上部皿穴部分を含む。
【0083】リフトピン651は、ヒータペデスタル6
28が処理領域の中で移動するとき、ペデスタルと部分
的に一緒に、また部分的に独立して移動する。リフトピ
ンは、基板ハンドラブレードが処理領域から基板を取り
除くことを可能にするように、ペデスタル628の上に
伸びることができるが、更にペデスタルの中へ沈んで、
処理のため基板をペデスタルの上面に置く必要がある。
ピン651を動かすため、基板位置決めアセンブリは、
リフトピン651の下方球形部分661と係合するよう
に構成された管状ピンサポート655、及び処理領域内
のヒータペデスタル628の位置に依存してリフトピン
651と選択的に係合するようにピンサポート655を
位置決めする駆動部材を含む。好ましくはセラミックか
ら作られたピンサポート655は、支持ピンの下方球形
部分と選択的に係合するため、心棒626の周りをヒー
タペデスタル628の下へ伸びる。
【0084】駆動アセンブリは、各々の処理領域61
8,620の中でピン651を上下に動かすため、シャ
フト630及び接続されたピンサポート655を上昇及
び降下させる。ピン駆動部材は、ペデスタルヒータ62
8に関してピン支持プラットフォーム655の移動を制
御するため、好ましくはチャンバ130の底に置かれ
る。
【0085】ガスボックス及びガスの供給 図2及び図3を参照すると、システム後部のチャンバの
外側に、堆積及び掃除の間に使用されるガスを含むガス
供給パネル219が存在する。使用される特定のガス
は、基板上に堆積される物質、又はチャンバ130から
取り除かれる物質に依存する。処理ガスは、入口ポート
を介してガスマニホルドへ流れ、次にシャワヘッド型ガ
ス分配アセンブリを介してチャンバの中へ流れる。電子
的に作動される弁及び流れ制御機構は、ガス供給源から
チャンバへのガスの流れを制御する。
【0086】本発明の1つの実施形態において、前駆物
質ガスは、ガスボックス219からチャンバ130へ供
給される。チャンバ130で、ガスラインは2つの別々
のガスラインへT字形に分かれる。2つのガスライン
は、前述したように、チャンバ本体へガスを導入する。
処理に依存して、任意数のガスが、このようにして送ら
れることができ、ガスがチャンバの底に達する前、又は
ガスがガス分配プレートに入ると、混合されることがで
きる。
【0087】電源 図2及び図3を参照すると、先進的でコンパクトなRF
(「CRF」)電力供給システム136が、各々の処理
領域618,620のために使用される。1つのシステ
ムが、各々のガス分配システム219へ接続される。E
NIによって製造されたジェネシスシリーズ(Genesis s
eries)の13.56MHzRF発振器が、各々のチャン
バについてシステム後部に取り付けられる。この高周波
発振器は、固定整合回路と共に使用されるように設計さ
れ、負荷へ送られるパワーを調整し、順方向及び反射パ
ワーに関する心配を除く。高周波RF発振器及び低周波
RF発振器を処理チャンバへインタフェースするため、
低域フィルタが固定整合回路の囲いの中へ設計される。
【0088】ENIによって製造された350kHzR
F発振器が、システム後部にあるRF発振器ラックに置
かれ、同軸ケーブルによって固定RF整合回路へリンク
される。低周波RF発振器は、1つのコンパクトな囲い
の中で低周波発生及び固定整合要素の双方を提供する。
低周波RF発振器は、負荷へ送られるパワーを調整し、
順方向及び反射パワーに関する心配を低減する。 プログラミング 図2及び図3に示されるシステムコントローラ138
は、コンピュータのハードディスクドライブに記憶され
たコンピュータプログラムの制御のもとで動作する。コ
ンピュータプログラムは、処理のシーケンスとタイミン
グ、ガスの混合、チャンバの圧力、RFパワーレベル、
サセプタの位置決め、スリット弁の開閉、基板の加熱、
及び特定方法の他のパラメータを指図する。ユーザとシ
ステムコントローラとの間のインタフェースは、好まし
くは、CRTモニタ及びライトペン(図示されていな
い)を介して行われる。好ましい実施形態において、2
つのモニタが使用され、1つのモニタはオペレータのク
リーンルームの壁に取り付けられ、他のモニタはサービ
ス技術員のために壁の背後に取り付けられる。双方のモ
ニタは、同じ情報を同時に表示するが、1つのライトペ
ンだけが動作可能である。ライトペンは、ペンの先端に
ある光センサでCRTディスプレイから出された光を検
出する。特定の画面又は機能を選択するため、オペレー
タは、ディスプレイ画面の指定領域にタッチし、ペン上
のボタンを押す。ディスプレイ画面は、一般的に、その
外観、即ちハイライト又は色を変化させることによっ
て、又は新しいメニュー又は画面を表示することによっ
て、ライトペンとタッチ領域との間の連絡を確認する。
【0089】例えば、システムコントローラ138で実
行されるコンピュータプログラム製品を使用して、様々
な処理を実行することができる。コンピュータプログラ
ムコードは、通常のコンピュータ読み取り可能プログラ
ミング言語、例えば、68000アセンブリ言語、C、
C++、又はPascalで書かれることができる。適
切なプログラムコードが、通常のテキストエディタを使
用して、単一のファイル、又は複数のファイルへ入れら
れ、コンピュータ使用可能媒体、例えばコンピュータの
メモリシステムの中へ記憶又は具体化される。もし入力
されたコードテキストがハイレベル言語であれば、コー
ドはコンパイルされ、結果のコンパイラコードが、前も
ってコンパイルされたライブラリルーチンのオブジェク
トコードとリンクされる。コンパイルされてリンクされ
たオブジェクトコードを実行するため、システムユーザ
は、オブジェクトコードを呼び出し、コンピュータシス
テムがコードをメモリへロードするようにし、CPU
は、プログラム内で指定されたタスクを実行するため、
メモリからコードを読み出して実行する。
【0090】図12は、コンピュータプログラム141
0の好ましい階層制御構造の例示的ブロック図を示す。
ユーザは、ライトペンインタフェースを使用することに
よって、CRTモニタ上に表示されたメニュー又は画面
に応答して、処理セット番号及び処理チャンバ番号を処
理選択サブルーチン1420へ入力する。処理セット
は、指定された処理を実行するために必要な処理パラメ
ータの所定のセットを提供し、既定のセット番号によっ
て識別される。処理選択サブルーチン1420は、
(i)所望の処理チャンバを識別し、(ii)処理チャン
バを動作させて所望の処理を実行するために必要な処理
パラメータの所望のセットを識別する。具体的な処理を
実行するための処理パラメータは、処理条件、例えば処
理ガスの組成と流量、温度、圧力、プラズマ条件、例え
ばRFバイアスパワーレベルと磁界パワーレベル、冷却
ガスの圧力、及びチャンバ壁の温度に関連し、レシピ形
式でユーザへ提供される。レシピによって指定されたパ
ラメータは、任意の通常の様式で入力されるが、最も好
ましくは、ライトペン/CRTモニタインタフェースを
使用して入力される。
【0091】処理を監視する様々な機器及び装置によっ
て与えられた電子信号は、システムコントローラのアナ
ログ入力及びディジタル入力ボードを介してコンピュー
タへ与えられる。処理チャンバを監視する通常の方法、
例えばポーリングを使用することができる。更に、様々
な処理コントローラ又は装置を操作するための電子信号
が、システムコントローラのアナログ出力及びディジタ
ル出力ボードを介して出力される。これらの監視及び制
御装置の数、タイプ、及び設置は、システムの特定の最
終用途、及び所望される方法制御の程度に従って、シス
テムごとに変えられてよい。特定の装置の仕様又は選
択、例えば特定のアプリケーションで使用する熱伝対の
最適タイプは、当業者に知られている。
【0092】処理シーケンササブルーチン1430は、
指定された処理チャンバ番号及び処理パラメータのセッ
トを処理選択サブルーチン1420から受け入れて、様
々な処理チャンバの動作を制御するプログラムコードを
含む。複数のユーザが処理セット番号及び処理チャンバ
番号を入力するか、1人のユーザが複数の処理チャンバ
番号を入力することができ、従ってシーケンササブルー
チン1430は、選択された処理を所望のシーケンスに
スケジュールするように動作する。好ましくは、処理シ
ーケンササブルーチン1430は、(i)処理チャンバ
の動作を監視して、チャンバが使用されているどうかを
決定し、(ii)使用されているチャンバで、どのような
処理が実行されているかを決定し、(iii)処理チャン
バの使用可能性及び実行される処理のタイプに基づいて
所望の処理を実行するステップを実行するプログラムコ
ードを含む。どの処理を実行するかスケジュールすると
き、シーケンササブルーチン1430は、選択された処
理の所望の処理条件、又は各々の特定のユーザが入力し
たリクエストの「年齢」、又はシステムプログラマがス
ケジューリング優先順位を決定するため組み込みたいと
望む他の関連ファクタと比較して、使用されている処理
チャンバの現在の条件を考慮に入れるように設計される
ことができる。
【0093】一度、シーケンササブルーチン1430
が、どの処理チャンバ及び処理セットの組み合わせが次
に実行されようとしているかを決定すると、シーケンサ
サブルーチン1430は、特定の処理セットパラメータ
をチャンバ管理サブルーチン1440aから1440c
へ渡すことによって、処理セットを実行させる。チャン
バ管理サブルーチン1440a〜cは、シーケンササブ
ルーチン1430によって決定された処理セットに従っ
て、処理チャンバ130内の複数の処理タスクを制御す
る。例えば、チャンバ管理サブルーチン1440aは、
処理チャンバ130内のスパッタリング及びCVD処理
動作を制御するプログラムコードを含む。更に、チャン
バ管理サブルーチン1440は、選択された処理セット
を実行するのに必要なチャンバ構成要素の動作を制御す
る様々なチャンバ構成要素サブルーチンの実行を制御す
る。チャンバ構成要素サブルーチンの例は、基板位置決
めサブルーチン1450、処理ガス制御サブルーチン1
460、圧力制御サブルーチン1470、ヒータ制御サ
ブルーチン1480、及びプラズマ制御サブルーチン1
490である。当業者は、どのような処理を処理チャン
バ130内で実行したいかに依存して、他のチャンバ制
御サブルーチンが組み込み可能であることを悟るであろ
う。動作において、チャンバ管理サブルーチン1440
aは、実行されている特定の処理セットに従って処理構
成要素サブルーチンを選択的にスケジュールするか呼び
出す。チャンバ管理サブルーチン1440aは、シーケ
ンササブルーチン1430がどの処理チャンバ130及
び処理セットを次に実行するかをスケジュールする方法
と同じ方法で、処理構成要素サブルーチンをスケジュー
ルする。典型的には,チャンバ管理サブルーチン144
0aは、様々なチャンバ構成要素を監視するステップ、
実行されるべき処理セットの処理パラメータに基づい
て、動作される必要のある構成要素を決定するステッ
プ、監視及び決定ステップに応答して、チャンバ構成要
素サブルーチンの実行を生じさせるステップを含む。
【0094】ここで、図12を参照して、特定のチャン
バ構成要素サブルーチンの動作を説明する。基板位置決
めサブルーチン1450は、基板をペデスタル628へ
ロードするため、またオプションとして、チャンバ13
0内で所望の高さに基板を上げて、基板とシャワヘッド
642との間の間隔を制御するために使用されるチャン
バ構成要素を制御するプログラムコードを含む。基板が
チャンバ130へロードされる際、ペデスタル628は
下げられ、リフトピンアセンブリが上昇して基板を受け
取り、その後、例えばCVD処理の間に、ガス分配マニ
ホルドから第1の距離ないし間隔に基板を維持するよ
う、ペデスタル628はチャンバ内の所望の高さに上昇
される。動作に際し、基板位置決めサブルーチン145
0は、チャンバ管理サブルーチン1440aから転送さ
れたサポートの高さに関連した処理セットパラメータに
応答して、リフトアセンブリ及びペデスタル628の移
動を制御する。
【0095】処理ガス制御サブルーチン1460は、処
理ガスの組成と流量を制御するプログラムコードを有す
る。処理ガス制御サブルーチン1460は、安全遮断弁
の開閉位置を制御し、また所望のガス流量を得るためマ
ス流量コントローラを増加/減少する。処理ガス制御サ
ブルーチン1460は、全てのチャンバ構成要素サブル
ーチンと同じように、チャンバ管理サブルーチン144
0aから呼び出され、チャンバ管理サブルーチンから所
望のガス流量に関連付けられた処理パラメータを受け取
る。典型的には、処理ガス制御サブルーチン1460
は、ガス源とチャンバ130のガス供給ラインとの間に
ある単一の制御弁を開き、反復的に(i)マス流量を測
定し、(ii)チャンバ管理サブルーチン1440aから
受け取られた所望の流量と実際の流量とを比較し、(ii
i)必要に応じてメインガス供給ラインの流量を調節す
るように動作する。更に、処理ガス制御サブルーチン1
460は、ガス流量が危険なレートであるかどうかを監
視し、危険状態が検出されたとき安全遮断弁を作動させ
るステップを含む。
【0096】或る処理では、アルゴンのような不活性ガ
スがチャンバ130へ提供されて、反応性処理ガスがチ
ャンバへ導入される前にチャンバ内の圧力を安定化させ
る。これらの処理のために、処理ガス制御サブルーチン
1460は、チャンバ内の圧力を安定化させるのに必要
な時間だけ不活性ガスをチャンバ130へ流入させるス
テップを含むようにプログラムされる。そのようなステ
ップの次に、前述したステップが実行されるであろう。
更に、処理ガスが液体前駆物質、例えばテトラエチルオ
ルソシラン(TEOS)から気化される場合は、処理制
御サブルーチン1460は、気泡アセンブリ内で液体前
駆物質をヘリウムのような供給ガスで泡立てるステップ
を含むように書かれるであろう。このタイプの処理で
は、処理ガス制御サブルーチン1460は、所望の処理
ガス流量を得るため供給ガスの流れ、バブラ内の圧力、
バブラの温度を調整する。前述したように、所望の処理
ガス流量は、処理パラメータとして処理ガス制御サブル
ーチン1460へ転送される。更に、処理ガス制御サブ
ルーチン1460は、所与の処理ガス流量に必要な値を
含む記憶データテーブルにアクセスすることによって、
所望の処理ガス流量に必要な供給ガス流量、バブラ圧
力、バブラ温度を得るステップを含む。一度、必要な値
が得られると、供給ガス流量、バブラ圧力、及びバブラ
温度は、監視されて必要な値と比較され、それに従って
調節される。
【0097】圧力制御サブルーチン1470は、チャン
バの減圧排気システムの中にある絞り弁の開口サイズを
調整することによって、チャンバ130内の圧力を制御
するプログラムコードを含む。絞り弁の開口サイズは、
処理ガスの全体の流れ、処理チャンバのガス含有容積、
及び減圧排気システムのポンピングセットポイント圧力
と関連付けてチャンバ圧力を所望のレベルに制御するた
め変えられる。圧力制御サブルーチン1470が呼び出
されるとき、所望のセットポイント圧力レベルが、チャ
ンバ管理サブルーチン1440aからパラメータとして
受け取られる。圧力制御サブルーチン1470は、チャ
ンバに接続された1つ又は複数の通常の圧力マノメータ
を使用してチャンバ130内の圧力を測定し、測定され
た値をセットポイント圧力と比較し、セットポイント圧
力に対応する記憶圧力テーブルからPID(比例、積
分、微分)制御パラメータを取得し、圧力テーブルから
得られたPID値に従って絞り弁を調節するように動作
する。代替的に、絞り弁を特定の開口サイズへ開閉し
て、チャンバ130を所望の圧力へ調整するように、圧
力制御サブルーチン1470を書くことができる。
【0098】ヒータ制御サブルーチン1480は、基板
を加熱するために使用されるランプ又はヒータモジュー
ルの温度を制御するプログラムコードを含む。更に、ヒ
ータ制御サブルーチン1480は、チャンバ管理サブル
ーチン1440aによって呼び出され、所望又はセット
ポイント温度パラメータを受け取る。ヒータ制御サブル
ーチン1480は、ペデスタル628に置かれた熱伝対
の電圧出力を測定することによって温度を決定し、測定
された温度をセットポイント温度と比較し、セットポイ
ント温度を得るためヒータへ印加される電流を増加又は
減少する。温度は、記憶された変換テーブル内の対応す
る温度をルックアップすることによって、又は4次多項
式を使用して温度を計算することによって、測定された
電圧から得られる。ペデスタル628を加熱するため放
射ランプが使用されるとき、ヒータ制御サブルーチン1
480は、ランプへ印加される電流の増加/減少を漸進
的に制御する。漸進的な増加/減少は、ランプの寿命及
び信頼性を増進する。更に、処理安全コンプライアンス
を検出するため、内臓フェイルセーフモードを組み込ん
で、処理チャンバ130が適切にセットアップされなか
ったならば、ランプ又はヒータモジュールの動作を遮断
することができる。
【0099】プラズマ制御サブルーチン1490は、チ
ャンバ130内の処理電極へ印加されるRFバイアス電
圧パワーレベルを設定し、またオプションとして、チャ
ンバ内で発生する磁界のレベルを設定するプログラムコ
ードを含む。前述したチャンバ構成要素サブルーチンと
同じく、プラズマ制御サブルーチン1490は、チャン
バ管理サブルーチン1440aによって呼び出される。
【0100】これまで、本発明のシステムは,プラズマ
CVDアプリケーションに関連して説明されたが、本発
明は、高密度プラズマ(HDP)CVD及びPVDチャ
ンバ、及びエッチングチャンバの使用をも含むことを理
解すべきである。例えば、本発明のシステムは、プラズ
マ処理用のタンデム型HDP CVDチャンバを含むよ
うに構成されることができる。1つの代替実施形態にお
いて、ガス分配/蓋アセンブリは、誘電体ドームで置換
されることができる。このドームは、その周りに配置さ
れた誘導コイル、及びコイルに接続されたRF電源を有
し、チャンバ内で高密度プラズマの誘導結合を可能にす
る。同様に、堆積物質源としてターゲットアセンブリを
上部に配置されたタンデム型PVDチャンバを構成する
ことができよう。ターゲットアセンブリへDC電源を接
続して、それにスパッタリングパワーを提供することが
できよう。 リンドープのメゾポーラス酸化膜 図13は、基板上にリンドープのメゾポーラス酸化物誘
電体を形成する方法を示す。方法は、界面活性剤を含む
リンドープゾルゲル前駆物質液を基板に堆積し、堆積さ
れたゾルゲルをキュアして酸化膜を形成し、酸素又はオ
ゾンのような酸化環境又は不活性アニーリング処理へ膜
を露出して界面活性剤を取り除き、リンドープのメゾポ
ーラス酸化物誘電体膜を形成することを含む。好ましく
は、リンは、ゾルゲル前駆物質液に含まれるリンから、
五酸化リンのようなリン化合物を形成することによっ
て、酸化膜へ組み込まれる。ゾルゲル前駆物質液は、好
ましくは、リンベースの酸の触媒を使用して形成される
が、リン酸配位子を有するケイ素酸素化合物、又はリン
ベースの成分によって修飾された界面活性剤を用いて形
成されるか、それらを含んでよい。様々な効果を達成す
るため、処理ステップの幾つかで、リンベースの他の物
質が代りに使用されてよく、時間、温度、圧力、及び物
質の相対的濃度のような処理パラメータが、広い範囲に
わたって変更されてよい。
【0101】方法は、ゾルゲル前駆物質を形成すること
によって、高圧堆積モジュール内で始まる。リンドープ
膜を堆積するために使用されるゾルゲル前駆物質は、有
機溶媒中でケイ素酸素化合物、水、リン含有酸、及び界
面活性剤を混合することによって形成される。次に、ゾ
ルゲル前駆物質は、スピンオン塗布法又はスプレー塗布
法のいずれかによって基板へ塗布されるが、好ましくは
スピンオン塗布堆積方法によって塗布される。スピンオ
ン塗布の間、遠心排液作用によって、膜はゾルゲル前駆
物質の薄い層で基板を実質的にカバーする。一度堆積さ
れると、次に、基板上のゾルゲル前駆物質は、加水分解
凝縮を完了してゾルゲルから溶媒及び水を取り除くた
め、約80℃〜約140℃の温度から立ち上がった増加
温度プロフィルを使用して熱処理され、好ましくは立方
晶相構造膜の中に、均一直径の相互接続孔を形成する。
【0102】次に、膜は、界面活性剤除去環境、例えば
窒素アニール又は酸化雰囲気へ露出することによって焼
成される。この環境は、好ましくは、約350℃〜約4
00℃の温度に維持される。それによって、界面活性剤
は膜から取り除かれ、リンドープのメゾポーラス酸化膜
へ形質転換される。堆積された膜におけるリンとケイ素
との好ましい比は、約1:60〜約1:8、好ましくは
約1:30〜約1:20である。これは、酸化ケイ素膜
において、リン化合物、例えば五酸化リン(P25)の
重量パーセントが、約2%〜約8%、更に好ましくは約
4%に対応する。膜におけるリン(酸化リン)の好まし
い濃度は、荷電可動原子の低濃度の有効固定化又はゲッ
タリングを提供し、それによって可動イオンが誘電体膜
を通って輸送されることを禁止するものと信じられる。
リンのドープは、イオンの可動性、堆積加水分解完了及
び凝縮の加速、改善された膜強度といった、複合的な利
点を有利に提供するものと信じられる。
【0103】ゾルゲル前駆物質のケイ素酸素化合物は、
半導体製造においてケイ素含有層を堆積するとき通常使
用される化合物である。その場合、シリカゾルが最も好
ましく使用される。ケイ素酸素前駆物質化合物としては
テトラエトキシオルソシラン(TEOS)、フェニルト
リエトキシシラン、p−ビス(トリエトキシシリル)ベ
ンゼン、ビス(トリエトキシシリル)メタン、メチルト
リエトキシシラン、ヘキサエトキシジシラン、及びこれ
らの組み合わせが、好ましくは使用される。リンドープ
のケイ素酸化物化合物を形成するため、リンベースの酸
が、ゾルゲル前駆物質を形成する前に、ケイ素酸素化合
物と化学的に反応されてよい。好ましくは、ホスホン酸
リガンド−PO(OH)2が、o−ホスホトリエトキシ
ルシラン(CH3CH2O)3SiOPO(OH)2を生成
するため、ケイ素酸素化合物、例えばトリエトキシシラ
ン基(CH3CH2O)3Si−−と結合される。リン酸
タイプの配位子と共に形成される他の好ましいリンドー
プケイ素酸化物化合物は、次のものを含む。 o−ホスホエチレントリエトキシシラン (CH3CH2O)3SiCH2CH2OPO(OH)2 ホスホリルエチレントリエトキシシラン (CH3CH2O)3SiCH2CH2PO(OH)2 p−ホスホリルフェニレントリエトキシシラン (CH3CH2O)3Si(C64)PO(OH)2 ホスホノトリエトキシシリルケトン (CH3CH2O)3SiC(O)PO(OH)2 ホスホノトリエトキシシラン (CH3CH2O)3SiPO(OH)2 リンドープのメゾポーラス酸化膜は、リンベースの酸又
は酸誘導体を使用して最初にドープされる。リンベース
の酸のリガンドは、ケイ素酸化物前駆物質の加水分解又
は重合の触媒として作用し、上昇温度での加水分解凝縮
反応の完了が続き、ゾルゲル処理で両親媒性基を被覆す
るオキシシラノール類、ケイ酸、及びシロキサン凝縮物
が形成されるものと信じられる。触媒は、加水分解活性
化エネルギーを低くして、後続の凝縮レートを増大する
ことによって加水分解を促進し、それによって膜処理時
間を縮小する。更に、リンは、乾燥処理の間に両親媒性
基の上に形成されるこれらの化合物の中へ組み込まれ、
次に、堆積されたゾルゲルの後処理の間に、形成された
膜をドープして、膜から両親媒性鋳型を取り除く。リン
化合物を含む好ましい酸は、正リン酸(H3PO4)、リ
ン酸二水素アンモニウム、リン酸二水素テトラメチルア
ンモニウム、長鎖アルコールのリン酸エステル、アルコ
キシシリルホスホン酸塩、これらの置換誘導体、及びこ
れらの組み合わせを含む。
【0104】リンベースの酸は、酸触媒を含むか、又は
代替的に、酸触媒の画分を構成してよい。リンをベース
とした酸が、付加的な酸と共に使用されるとき、好まし
くは、シュウ酸、グリオキシル酸、及びこれらの組み合
わせの群から選択された有機酸、及び/又は硝酸、塩
酸、過塩素酸、及びこれらの組み合わせの群から選択さ
れた揮発性無機酸が使用される。メゾポーラス酸化膜を
形成する際に、揮発性の高い無機酸を使用することは特
に興味深い。酸触媒加水分解反応速度はpH約2付近で
最大となり、凝縮速度は、pH約6〜約7付近で最大と
なるため、リンベースの酸、典型的には低揮発性の弱酸
と、無機酸、典型的には揮発性の高い強酸との混合物
が、触媒反応を最大化するために使用できる。
【0105】特に、加水分解反応は、リンをベースとし
た酸及び無機酸、好ましくは硝酸の双方によって、低い
pHで触媒作用を受け、次に、例えばキュアステップに
おける上昇温度への露出は、硝酸成分を蒸発して、リン
をベースとした酸の含量を生成し、これが凝縮への触媒
として作用し、メゾポーラス酸化膜へのリンのドープに
寄与すると信じられる。酸混合物における酸の相対的濃
度を制御することによって、膜の好ましいドープ量を達
成することができる。
【0106】溶液内のケイ素酸素化合物の有効な分散を
確保して、基板上に均一な量で膜を堆積するため、界面
活性剤がゾルゲル前駆物質に使用される。界面活性剤
は、アニオン、カチオン、又は非イオン性であってよ
い。界面活性剤は、溶媒含有水における完全な分散を確
保するために、親水性結合基を使用する。非イオン性界
面活性剤は、荷電されていない化学結合基又は中性親水
基を有し、アニオン性及びカチオン性界面活性剤は、そ
れぞれ負及び正に荷電された結合基を有する。好ましく
は本発明の立方晶相構造において、均一直径の相互接続
孔を形成するため、非イオン性界面活性剤が使用され
る。非イオン性界面活性剤は、好ましくは、p−(CH
33C−CH2−C64−CH2−(OCH2CH28
H、p−(CH33COC64CH2(OCH2CH28
−OH、及び他のポリエチレンオキサイド共重合誘導
体、ポリエチレンオキサイド−ポリプロピレンオキサイ
ド−ポリエチレンオキサイド3ブロック共重合誘導体、
及びこれらの組み合わせの群から選択される。
【0107】リン酸配位子が、界面活性剤と化学的に結
合されてよい。例えば、アルコール末端界面活性剤か
ら、リン酸エステルを形成することができる。アルコー
ル末端界面活性剤は、長鎖親水鎖構造を含むことがで
き、好ましくは、p−(CH33CCH264CH
2(OCH2CH2N−OH、p−(CH33COC64
CH2(OCH2CH2N−OH、CH3(CH2K−O
H、(CH33CCH2C(CH3264(OCH2
2N−OH、CH3(CH2K−OHHO(CH2CH
2O)M(CH2C(CH3)HO)L(CH2CH2O)
MH、及びCH3(CH2 I(CH2CH2O)J−OHを
例とするトリトン(Triton)界面活性剤、及びこれらのフ
ッ素誘導体、及びこれらの組み合わせを含む群から選択
される。好ましくは、Nは6〜12の整数、最も好まし
くは8であり、Kは13〜17の整数、Iは6〜15の
整数、Jは20〜106の整数、Lは20〜80の整数
である。これらの化合物の例は、テトラデシルリン酸塩
及びヘキサデシル(セチル)リン酸塩を含む。
【0108】ゾルゲル中でケイ素酸素化合物を分散し、
スピンナチャンバ内でゾルゲルを基板へ容易にスプレー
又は堆積するのを助けるため、有機溶媒が溶液中で使用
される。本発明は、エタノール、n-プロパノール、イソ
プロパノール、n-ブタノール、sec-ブタノール、tert-
ブタノール、エチレングリコール、又はこれらの組み合
わせの群から選択された有機溶媒、好ましくはアルコー
ルを使用するが、好ましくは、1−プロパノール、イソ
プロパノール、及び2−ブタノールが使用される。堆積
されたゾルゲル内の有機溶媒は、典型的には熱処理又は
キュア処理によって取り除かれる。これらの処理は、約
50℃から約450℃までの1つ又は複数のステップを
含んでよく、加水分解及び凝縮反応が膜の形成中に生じ
る環境を提供する。キュア処理は、好ましくは、キュア
/ベーキングチャンバで約1分〜約10分の間実行され
る。
【0109】キュアステップの間、有機溶媒の選択的蒸
発、及び膜内の水分の除去は、非揮発性界面活性剤及び
ケイ素酸素化合物、例えばシリカの濃度を増大する。界
面活性剤の濃度が増大するにつれて、界面活性剤、リン
ベースの酸、及びケイ素酸素化合物は、薄くなった膜内
で分子アセンブリを形成する。継続された乾燥は、膜を
凝固してリンでドープし、それによって、図13に示さ
れるように、本発明では立方晶相構造である膜の微細構
造に均一直径の相互接続孔を形成する。
【0110】好ましくは、リンドープのメゾポーラス酸
化膜は、約350℃〜約400℃の高温アニールで界面
活性剤を取り除くことによって形成されることができ
る。アニーリング処理は、近真空から大気圧までの圧力
で実行されてよい。界面活性剤が膜から取り除かれるに
つれて、アセンブリのケイ素酸素化合物が酸化膜の形
状、好ましくは立方晶相構造を維持するので、孔が形成
されて固くなり、メゾポーラス膜が形成される。通常、
孔は相互接続構造を有するが、多くの孔は終端分岐を有
するか、アモルファス層を形成してよい。メゾポーラス
膜の選択的形成は、約50%よりも大きい高多孔質度の
膜を生じ、その呈示誘電率は約2.5よりも小さく、好
ましくは約2.2〜約1.6にある。
【0111】アニーリングステップは、堆積モジュール
の圧力と同じ圧力、即ち約300トールよりも大きい圧
力で実行される。更に好ましくは、アニーリング処理
は、約300トール〜約760トールの圧力、最も好ま
しくは約500トール〜約700トールの圧力で実行さ
れる。好ましくは、膜は非反応性雰囲気でアニールされ
る。その場合、非反応性ガスは、好ましくは窒素、不活
性ガス、例えばアルゴン及びヘリウム、又はこれらの組
み合わせである。
【0112】酸化膜は、好ましくは、前駆物質化合物
が、例えばフェニルトリエトキシシラン、p−ビス(ト
リエトキシシリル)ベンゼン、ビス(トリエトキシシリ
ル)メタン、及びメチルトリエトキシシランにおけるよ
うにメチル基又はフェニル基を含むときアニールされ
る。非反応性環境でメチル又はフェニル含有前駆物質化
合物から堆積された膜をアニールすることは、メチル及
びフェニル化合物の酸化及び除去を防止する。メチル及
びフェニル基が保持されると、膜は、より高い炭素含量
を有する。これは、疎水性が改善されて誘電率が低くな
った膜を提供するものと信じられる。同様に、アニーリ
ングステップは、約50%よりも大きい高多孔質度の膜
を生じる。この膜は、約2.5よりも小さな誘電率、好
ましくは約2.2〜約1.6の誘電率を呈示する。
【0113】代替的に、堆積された膜は、上昇された温
度で酸化雰囲気へ露出されてよい。酸化雰囲気の温度
は、好ましくは、約200℃〜約400℃の範囲にあ
る。酸化環境は、好ましくは、酸素、オゾン、又は反応
性酸素種を形成する酸素プラズマを含む。ここで、最も
好ましくは、オゾンプラズマがチャンバの中で形成され
る。プラズマは、約0.5トール〜約10トールの圧力
で実行される。酸素種は、膜を爆撃し、界面活性剤及び
残留水分及び溶媒と反応し、それによって、それらの作
用因子を膜から取り除く。イオン種は、高度に反応性
で、界面活性剤を取り除くには約0.5分〜約5分の短
い露出を必要とするのみである。
【0114】好ましいオプションの手順では、リンベー
スの酸のゾルゲル前駆物質が、有機溶媒を含むゾルゲル
前駆物質と組み合わせて使用される。前もって混合され
る一次化学溶液の成分は、テトラオルソケイ酸塩(TE
OS)、エチルアルコールのようなアルコール、水、及
び適切な有機酸、例えばシュウ酸又はグリオキシル酸
を、部分的に加水分解された状態で含むことができる。
基板へ適用する前に、一次化学溶液は、界面活性剤の濃
度が、臨界ミセル濃度及び自己集合を好ましくは立方晶
相3次元網目へ超過するように、補足的な加水分解可能
ケイ素保有前駆物質、例えばメチルトリエトキシシラ
ン、p−ビス(トリエトキシシリル)ベンゼン、ビス
(トリエトキシシリル)メタン、又はフェニルトリエト
キシシランに加えて、アルコール、例えばイソプロパノ
ール、1−プロパノール、又は2−ブタノール、一部分
がリンをベースとした酸によってエステル化されてよい
適切な長鎖界面活性剤、水、及びリンをベースとした酸
と適切な比で混合されることができる。リン酸は、正リ
ン酸、置換された正リン酸、アルコキシシリルホスホン
酸塩、又はアルコキシシリルホスホン酸塩化学変異体、
及びこれらの組み合わせの形態で長鎖界面活性剤リン酸
塩に加えて使用されるか、それに代えて使用されてよ
い。リンをベースとした酸のゾルゲル前駆物質、及び有
機溶媒を含むゾルゲル前駆物質は、好ましくは、約1:
1〜約10:1の比で混合される。
【0115】リンドープのメゾポーラス酸化膜は親水性
であり、水分汚染に敏感である。水分(誘電率(k)>
78)の汚染は、膜の全体的誘電率に有害な効果を与え
る。従って、典型的に、膜をシリル化し、及び/又はキ
ャップ層で膜を覆うことによって、膜が後処理される。
【0116】シリル化は、堆積された膜の上面へケイ素
を導入する処理である。化学反応において、反応性オル
ガノシランの液相又は気相拡散は反応チャンバで起こ
り、膜の上面に存在するヒドロキシル基の水素を、有機
ケイ素基、最も通常では、トリメチルシリル基で置換す
る。そのような化学反応の例は、基板上の誘電層へヘキ
サメチルジシラザン(HMDS)を導入して、シリルエ
ーテルを形成することである。シリル化処理は、約25
℃〜約200℃の温度でシリル化試剤を拡散することに
よって達成される。これは、露出されたリンドープメゾ
ポーラス酸化膜に作用して、露出された膜を疎水性にす
る。本発明における好ましいシリル化試剤は、テトラメ
チルジシラザン(TMDS)、ヘキサメチルジシラザン
(HMDS)、及びジメチルアミノトリメチルシラン、
又はこれらの組み合わせである。
【0117】リンドープのメゾポーラス酸化膜の上に堆
積されるキャップ層は、水分のような物質の拡散に対す
るバリアを提供する物質、エッチストップとして働く物
質、又はハードマスクとして働く物質の任意のものであ
ってよい。好ましくは、キャップ層は、約0.5トール
〜約10トールまでのチャンバ圧力で、プラズマ化学的
気相成長(PECVD)チャンバによって堆積される低
誘電体膜である。適切な物質の例は、二酸化ケイ素、窒
化ケイ素、オキシ窒化ケイ素、及びアモルファス炭化ケ
イ素である。ライナ層として使用される例示的物質は、
アモルファス炭化ケイ素であるBLOk(商品名)であ
る。これは、1998年10月1日に出願された標題"A
Silicon Carbide Deposition For Use As A Bariier L
ayer AndAn Etch Stop"(バリア層及びエッチストップ
として使用される炭化ケイ素の堆積)の米国特許出願第
09/165,248号に説明される。
【0118】デュアルダマシン構造の堆積 本発明に従って作られる好ましいデュアルダマシン構造
1500は図14に示され、その構造を作る方法の概略
は、図15Aから図15Hで逐次に示される。これらの
図は、本発明のステップを実行された基板の断面図であ
る。
【0119】リンドープのメゾポーラスメタル間誘導層
1510を含むデュアルダマシン構造1500は、図1
4に示される。本発明に従って堆積されたメタル間誘導
層1510及び1514は、3よりも小さい極めて低い
誘電率を有し、しばしば極低k又はELk誘電層と呼ば
れる。第1の誘電層1510、好ましくは本発明のリン
ドープメゾポーラス酸化ケイ素層は、基板1502の上
に堆積される。基板は、コンタクトレベル基板材料15
04の中に形成されたパターニング導線1506を含
み、その上に酸化ケイ素、窒化ケイ素、オキシ窒化ケイ
素、又はアモルファス水素化炭化ケイ素(BLOk)、
好ましくは窒化ケイ素を含む第1の(又は基板の)エッ
チストップ1508が堆積されている。
【0120】酸化ケイ素、窒化ケイ素、オキシ窒化ケイ
素、又は水素化炭化ケイ素(BLOk)を含む第2のエ
ッチストップ1512は、第1の誘電層1510の上に
堆積される。第2のエッチストップ1512は、好まし
くはオキシ窒化ケイ素を含む。第2の誘電層1514、
好ましくは本発明のリンドープメゾポーラス酸化ケイ素
層は、第2のエッチストップ1512の上に堆積され、
好ましくは水素化炭化ケイ素(BLOk)を含むパッシ
ベーション又はバリア層1515は、第2の誘電層15
14の上に堆積され、第3のエッチストップ1516
は、パッシベーション又はバリア層1515の上に堆積
されている。堆積された層はバイア1520を形成する
ためにエッチングされる。後で、バイア1520は、そ
の中で共形のに堆積されたバリア層1522の上を、導
電性メタル1524、好ましくは銅で充填される。次
に、構造は平坦化され、窒化ケイ素、酸化ケイ素、オキ
シ窒化ケイ素、又は水素化炭化ケイ素、好ましくは窒化
ケイ素を含むキャップ層1518が、その上に堆積され
る。更に、キャップ層1518は、基板エッチストップ
として働き、後続のデュアルダマシンマルチレベル相互
接続に対しては、第1のエッチストップ1508に対応
する。
【0121】図15Aに示されるように、酸化ケイ素、
窒化ケイ素、オキシ窒化ケイ素、又はアモルファス水素
化炭化ケイ素、好ましくは窒化ケイ素を含む第1の(又
は基板の)エッチストップ1508は、基板1502の
上で約1000Åの厚さに堆積される。基板1502
は、コンタクトレベル基板材料1504の中に形成され
たパターニング導電性相互接続又は線1506を含む。
第1のリンドープメゾポーラス誘電層1510は、本発
明に従って第1のエッチストップ1508の上に堆積さ
れる。第1の誘電層1510は、作られる構造のサイズ
に依存して、約5,000Å〜約10,000Åの厚さ
を有するが、好ましくは約5,000Åの厚さを有す
る。次に、第1の誘電層1510は、そこから揮発性汚
染物を取り除くため、約350℃〜約450℃の温度で
アニールされてよい。第2のエッチストップ1512、
例えばオキシ窒化ケイ素は、誘電層1510の上で約2
00Å〜約1000Å、好ましくは約500Åの厚さに
堆積される。次に、第2のリンドープメゾポーラス誘電
層1514が、本発明に従って、第1のエッチストップ
1508の上で約5,000Å〜約10,000Å、好
ましくは約5,000Åの厚さに堆積される。次に、第
2のリンドープメゾポーラス誘電層1514は、約35
0℃〜約450℃の温度でアニールされてよい。
【0122】次に、水素化炭化ケイ素(BLOk)を含
むパッシベーション又はバリア層1515が、第2のリ
ンドープメゾポーラス誘電層1514の上に堆積され
る。酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、又は
アモルファス水素化炭化ケイ素(BLOk)、好ましく
は窒化ケイ素を含む第3のエッチストップ1516が、
パッシベーション又はバリア層1515の上で、約50
0Å〜約1000Å、好ましくは約1000Åの厚さに
堆積される。約2000Åの厚さを有する酸化ケイ素層
1517が、第3のエッチストップ1516の上に堆積
され、ハードエッチマスクとしての使用、及び将来の化
学機械研磨(CMP)ステップでの使用に供される。次
に、反射防止膜(ARC)1519、及びフォトレジス
ト層1521を含むトレンチフォトマスクが、それぞれ
酸化ケイ素層1517の上に堆積される。次に、フォト
レジスト層1521が、当業者に知られた通常のフォト
リソグラフィ手段によってパターニングされる。
【0123】次に、酸化ケイ素層1517は、図15B
に示されるように、第3のエッチストップ1516を露
出するため、当業者に知られた通常の手段、好ましくは
炭化フッ素化学作用を使用するエッチング処理によって
エッチングされる。酸化ケイ素層1517の最初のエッ
チングは、デュアルダマシン構造1500の開口幅又は
トレンチ幅を確立する。酸化ケイ素層1517の中に形
成された開口幅は、第2のエッチストップ1514の上
に形成されたデュアルダマシン構造1500の水平相互
接続を画定する。次に、残存フォトレジスト1521
が、バイアのエッチングに備えて灰化又は乾燥除去され
る。次に、図15Cに示されるように、デュアルダマシ
ン構造のコンタクト又はバイア幅を形成するため、他の
反射防止膜1519及びフォトレジスト層1521が、
それぞれ薄い酸化ケイ素層1517の上に堆積され、更
に、構造のバイア幅によって第3のエッチング層151
6を露出するため、フォトリソグラフィによってパター
ニングされる。
【0124】図15Dを参照すると、第3のエッチスト
ップ1516、パッシベーション又はバリア層151
5、及び第2の誘電層1514が、第2のエッチストッ
プ1512を露出するため、トレンチをエッチングされ
る。次に、図15Eで示されるように、酸化ケイ素層1
517によって確立された幅でメタライゼーション構
造、即ち、相互接続及びコンタクト/バイアを画定する
ため、異方性エッチング手法を使用して、第2の誘電層
1514を第2のエッチストップ1512までバイアエ
ッチングし、第3のエッチストップ1516、第2の誘
電層1514、及び第2のエッチストップ1512をエ
ッチングする間に確立されたバイア幅で、第1の誘電層
1510を第1のエッチストップ1508までエッチン
グすることによって、バイア1520が形成される。第
2のエッチストップ1512又は第2の誘電層1514
をパターニングするために使用されたフォトレジスト又
はARC材料は、酸素ストリップ又は他の適切な処理を
使用して取り除かれる。図15Fは、基板1502を保
護する第1のエッチストップ1508をエッチングし
て、コンタクトレベル基板材料1504の中に存在する
パターニングメタル線1506を露出することを示す。
パターニングメタル線1506は、好ましくは、銅のよ
うな導電性メタルを含む。次に、デュアルダマシン構造
1500は、後続の層堆積の前に、当業者に知られた通
常の手段によって事前にクリーニングされる。
【0125】次に、導電性材料、例えばアルミニウム、
銅、タングステン、又はこれらの組み合わせを使用し
て、メタライゼーション構造が形成される。現在、銅の
抵抗率が低い(アルミニウムの3.1mW−cmに対し
て、1.7mW−cm)ため、銅が使用される傾向にあ
る。好ましくは、図15Gに示されるように、周囲のケ
イ素及び/又は誘電材料へ銅のマイグレーションが生じ
るのを防止するため、先ず窒化タンタルのような適切な
バリア層1522が、メタライゼーションパターン15
20の中で共形のに堆積される。その後で、導電構造を
形成するため、化学的気相成長、物理的気相成長、電鋳
加工を使用して、銅層1524が堆積される。この場
合、好ましくは電鋳加工が使用される。一度、構造が銅
又は他のメタルで充填されると、化学機械研磨によって
表面が平坦化され、図15Hで示されるように、好まし
くは窒化ケイ素を含み約1000Åの厚さを有するキャ
ップ層1518で表面が覆われる。表面を平坦化する前
に、銅の充填物を再結晶化し構造1500に形成された
ボイドを取り除くため、メタルが水素雰囲気中でアニー
ルされてよい。図示されてはいないが、銅層1524が
電鋳加工処理によって堆積される場合は、銅層1524
の前に、銅のシード層を堆積してもよい。そして、デュ
アルダマシン形成処理を反復して、更なる相互接続レベ
ルを堆積してもよい。現在のマイクロプロセッサ集積回
路は、5又は6の相互接続レベルを有する。
【0126】これまでの説明は、本発明の好ましい実施
形態に向けられているが、本発明の基本的範囲から逸脱
することなく、本発明の他の更なる実施形態が案出され
てよい。本発明の範囲はクレームによって画定される。
【図面の簡単な説明】
【図1】半導体基板をバッチ処理するための放射状クラ
スタツールを示す概略平面図である。
【図2】本発明のキャップモジュール及び高圧堆積モジ
ュールを含む装置の1つの実施形態を示す概略平面図で
ある。
【図3】本発明のキャップモジュールの1つの実施形態
を示す概略平面図である。
【図4】本発明のロードロックチャンバの実施形態を示
す斜視図である。
【図5】移送チャンバ及び処理チャンバの平面図であっ
て、本発明の基板ハンドリング部材が、移送チャンバへ
取り付けられて、移送チャンバ内での回転準備又は他の
チャンバへの伸長準備を完了した収縮位置にあることを
示す図である。
【図6】移送チャンバ及び処理チャンバの平面図であっ
て、本発明の基板ハンドリング部材が、移送チャンバへ
取り付けられて、ブレードが処理チャンバ内に置かれる
伸長位置にあることを示す図である。
【図7】高速熱アニールチャンバの断面図である。
【図8】本発明のキャップモジュールに含まれるPEC
VDチャンバの1つの実施形態を示す斜視図である。
【図9】本発明のPECVDチャンバの断面図である。
【図10】PECVDチャンバのガス分配アセンブリの
組立分解図である。
【図11】蓋を取り外したときの本発明のPECVDチ
ャンバの平面図である。
【図12】方法を制御するコンピュータプログラムの階
層制御構造を示す例示的ブロック図である。
【図13】立方晶相構造及びメゾポーラス膜構造を示す
メゾポーラス膜処理の例示的図である。
【図14】本発明のリンドープメゾポーラス酸化層を含
むデュアルダマシン構造を示す断面図である。
【図15】Aは、本発明のリンドープメゾポーラス酸化
誘電層を使用するデュアルダマシン堆積を示す断面図で
あり、Bは、本発明のリンドープメゾポーラス酸化誘電
層を使用するデュアルダマシン堆積を示す断面図であ
り、Cは、本発明のリンドープメゾポーラス酸化誘電層
を使用するデュアルダマシン堆積を示す断面図であり、
Dは、本発明のリンドープメゾポーラス酸化誘電層を使
用するデュアルダマシン堆積を示す断面図であり、E
は、本発明のリンドープメゾポーラス酸化誘電層を使用
するデュアルダマシン堆積を示す断面図であり、Fは、
本発明のリンドープメゾポーラス酸化誘電層を使用する
デュアルダマシン堆積を示す断面図であり、Gは、本発
明のリンドープメゾポーラス酸化誘電層を使用するデュ
アルダマシン堆積を示す断面図であるり、Hは、本発明
のリンドープメゾポーラス酸化誘電層を使用するデュア
ルダマシン堆積を示す断面図である。
【符号の説明】
10…真空クラスタツール、12…基板処理チャンバ、
16…基板ハンドラ、18…移送チャンバ、20…ロー
ドロックチャンバ、120…キャップ層モジュール(処
理モジュール)、121…スリット弁、122…工場イ
ンタフェース(フロントエンドステージング領域、基板
ステージング領域)、124…ロードロックチャンバ
(事前加熱モジュール)、126、126A、126B
…移送チャンバ、127、127A、127B…基板ハ
ンドラ、128…通路(スリット弁、前面真空ドア)、
130…処理チャンバ、132…通路(スリット弁)、
133…側壁、134…ガスパネル、136…配電盤、
138…コンピュータ制御ラック、140…後部、61
8…処理領域、620…処理領域。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ロバート ピー. マンダル アメリカ合衆国, カリフォルニア州, サラトガ, アロヨ デ アルゲロ 12472 Fターム(参考) 5F033 HH08 HH11 HH19 HH32 JJ01 JJ08 JJ11 JJ19 JJ32 KK08 KK11 KK19 KK32 MM02 MM12 MM13 NN06 NN07 PP06 PP14 PP27 PP33 QQ02 QQ09 QQ10 QQ12 QQ16 QQ25 QQ37 QQ48 QQ71 QQ74 RR04 RR06 RR08 RR14 RR21 RR29 SS15 TT04 WW00 WW03 WW04 XX00 XX01 XX05 XX24 5F058 AA10 AC03 BA20 BC02 BC04 BD02 BD04 BD06 BF46 BH01 BH03 BJ02

Claims (42)

    【特許請求の範囲】
  1. 【請求項1】 基板上にメゾポーラス酸化膜を形成する
    方法であって、 ケイ素酸素化合物と、リン含有酸溶液と、有機溶媒と、
    水と、界面活性剤とを有するゾルゲル前駆物質を形成す
    るステップと、 ゾルゲル前駆物質を基板上に堆積するステップと、 堆積されたゾルゲル前駆物質をキュアして酸化膜を形成
    するステップと、 界面活性剤を除去するプロセスに酸化膜を曝露してメゾ
    ポーラス酸化膜を形成するステップとを有する方法。
  2. 【請求項2】 ケイ素酸素化合物前駆物質が、テトラエ
    チルオルソシリレートと、メチルトリエトキシシラン
    と、フェニルトリエトキシシランと、ヘキサエトキシジ
    シロキサンと、p−ビス(トリエトキシシリル)ベンゼ
    ンと、ビス(トリエトキシシリル)メタンと、これらの
    組み合わせとから成る群より選択される請求項1に記載
    の方法。
  3. 【請求項3】 ケイ素酸素化合物前駆物質が、1つ又は
    複数のホスホン酸リガンド(−PO(OH)2)を有す
    る請求項2に記載の方法。
  4. 【請求項4】 ケイ素酸素化合物前駆物質が、o−ホス
    ホトリエトキシシラン(CH3CH2O)3SiOPO
    (OH)2と、o−ホスホエチレントリエトキシシラン
    (CH3CH2O)3SiCH2CH2OPO(OH)2と、
    ホスホリルエチレントリエトキシシラン(CH3CH
    2O)3SiCH2CH2PO(OH)2と、p−ホスホリ
    ルフェニレントリエトキシシラン(CH3CH2O)3
    i(C64)PO(OH)2と、ホスホノトリエトキシ
    シリルケトン(CH3CH2O)3SiC(O)PO(O
    H)2と、ホスホノトリエトキシシラン(CH3CH
    2O)3SiPO(OH)2と、これらの組み合わせとか
    ら成る群より選択される請求項3に記載の方法。
  5. 【請求項5】 有機溶媒が、エタノールと、イソプロパ
    ノールと、n-プロパノールと、n-ブタノールと、sec.-
    ブタノールと、tert.−ブタノールと、エチレングリコ
    ールと、これらの組み合わせとから成る群より選択され
    る請求項1に記載の方法。
  6. 【請求項6】 リン含有酸溶液が、正リン酸(H3
    4)と、リン酸二水素アンモニウムと、リン酸二水素
    テトラメチルアンモニウムと、長鎖アルコールのリン酸
    エステルと、アルコキシシリルホスホン酸塩と、これら
    の置換誘導体と、これらの組み合わせとから成る群より
    選択されるリンベースの酸である請求項1に記載の方
    法。
  7. 【請求項7】 リン含有酸溶液が、硝酸と、塩酸と、過
    塩素酸と、これらの組み合わせとから成る群より選択さ
    れる揮発性無機酸を更に有する請求項1に記載の方法。
  8. 【請求項8】 リン含有酸溶液が、シュウ酸と、グリオ
    キシル酸と、これらの組み合わせとから成る群より選択
    される有機酸を更に有する請求項1に記載の方法。
  9. 【請求項9】 界面活性剤が、p−(CH33C−CH
    2−C64−CH2−(OCH2CH28OH、p−(C
    33COC64CH2(OCH2CH28−OHと、ポ
    リエチレンオキサイド共重合誘導体と、ポリエチレンオ
    キサイド−ポリプロピレンオキサイド−ポリエチレンオ
    キサイド3ブロック共重合誘導体と、これらの組み合わ
    せとから成る群より選択される請求項1に記載の方法。
  10. 【請求項10】 界面活性剤が、更にリン成分を有する
    請求項1に記載の方法。
  11. 【請求項11】 リンベースの成分が、p−(CH33
    CCH264CH2(OCH2CH2N−OHと、p−
    (CH33COC64CH2(OCH2CH2 N−OH
    と、CH3(CH2K−OH、CH3(CH2I(CH2
    CH2O)J−OHと、HO(CH2CH2O)M(CH2
    (CH3)HO)L(CH2CH2O)MHと、p−(C
    33CCH2C(CH3264(OCH2CH2N
    OHと(ここで、Nは6〜12の整数、Kは13〜17
    の整数、Iは6〜15の整数、Jは20〜106の整
    数、Lは20〜80の整数)、これらのフッ素誘導体
    と、これらの組み合わせとから成る群より選択されるア
    ルコール末端終結界面活性剤のリン酸塩である請求項1
    0に記載の方法。
  12. 【請求項12】 リン含有酸が、 正リン酸(H3PO4)と、リン酸二水素アンモニウム
    と、リン酸二水素テトラメチルアンモニウムと、長鎖ア
    ルコールのリン酸エステルと、アルコキシシリルホスホ
    ン酸塩と、これらの置換誘導体と、これらの組み合わせ
    とから成る群より選択されるリンベースの酸と、 硝酸と、塩酸と、過塩素酸と、これらの組み合わせとか
    ら成る群より選択される揮発性酸とを有する請求項1に
    記載の方法。
  13. 【請求項13】 リン含有酸溶液のpHが約2である請
    求項1に記載の方法。
  14. 【請求項14】 堆積ゾルゲル前駆物質のキュアの後、
    リン含有酸溶液のpHが約6〜7である請求項1に記載
    の方法。
  15. 【請求項15】 メゾポーラス酸化膜が、重量で約2%
    〜約8%の酸化リン濃度を有する請求項1に記載の方
    法。
  16. 【請求項16】 界面活性剤を除去するプロセスが、約
    200℃〜約400℃の温度で酸化環境に膜を曝露する
    ステップを有する請求項1に記載の方法。
  17. 【請求項17】 界面活性剤を除去するプロセスが、不
    活性雰囲気内で約200℃〜約450℃の温度で膜を加
    熱するステップを有する請求項1に記載の方法。
  18. 【請求項18】 基板上へのメゾポーラス酸化膜の形成
    方法であって、 a.第1のケイ素酸素化合物と、有機酸と、第1の有機
    溶媒と、水と、第1の界面活性剤とを有する第1のゾル
    ゲル前駆物質を形成するステップと、 b.第2のケイ素酸素化合物と、リンベースの酸と、第
    2の有機溶媒と、水と、第2の界面活性剤とを有する第
    2のゾルゲル前駆物質を形成するステップと、 c.第1のゾルゲル前駆物質と第2のゾルゲル前駆物質
    とを混合して、混合ゾルゲル前駆物質を形成するステッ
    プと、 d.混合ゾルゲル前駆物質を基板上に堆積するステップ
    と、 e.堆積された混合ゾルゲル前駆物質をキュアして酸化
    膜を形成するステップと、 f.酸化膜を界面活性剤除去プロセスに曝露してメゾポ
    ーラス酸化膜を形成するステップとを有する方法。
  19. 【請求項19】 第1のケイ素酸素化合物前駆物質と第
    2のケイ素酸素化合物前駆物質が、テトラエチルオルソ
    ケイ酸塩と、メチルトリエトキシシランと、フェニルト
    リエトキシシランと、ヘキサエトキシジシロキサンと、
    p−ビス(トリエトキシシリル)ベンゼンと、ビス(ト
    リエトキシシリル)メタンと、これらの組み合わせとか
    ら成る群より選択される請求項18に記載の方法。
  20. 【請求項20】 第1のケイ素酸素化合物前駆物質と第
    2のケイ素酸素化合物前駆物質が更に、1つ又は複数の
    ホスホン酸リガンド(−PO(OH)2)を有する請求
    項19に記載の方法。
  21. 【請求項21】 第1のケイ素酸素化合物前駆物質と第
    2のケイ素酸素化合物前駆物質が、o−ホスホトリエト
    キシシラン(CH3CH2O)3SiOPO(OH)2と、
    o−ホスホエチレントリエトキシシラン(CH3CH
    2O)3SiCH 2CH2OPO(OH)2と、ホスホリル
    エチレントリエトキシシラン(CH3CH 2O)3SiC
    2CH2PO(OH)2と、p−ホスホリルフェニレン
    トリエトキシシラン(CH3CH2O)3Si(C64
    PO(OH)2と、ホスホノトリエトキシシリルケトン
    (CH3CH2O)3SiC(O)PO(OH)2と、ホス
    ホノトリエトキシシラン(CH3CH2O)3SiPO
    (OH)2と、これらの組み合わせとから成る群より選
    択される請求項20に記載の方法。
  22. 【請求項22】 第1の有機溶媒と第2の有機溶媒が、
    エタノールと、イソプロパノールと、n-プロパノール
    と、n-ブタノールと、sec.-ブタノールと、tert.-ブタ
    ノールと、エチレングリコールと、これらの組み合わせ
    とから成る群より選択される請求項18に記載の方法。
  23. 【請求項23】 リン含有酸溶液が、正リン酸(H3
    4)と、リン酸二水素アンモニウムと、リン酸二水素
    テトラメチルアンモニウムと、長鎖アルコールのリン酸
    エステルと、アルコキシシリルホスホン酸塩と、これら
    の置換誘導体と、これらの組み合わせとから成る群より
    選択されるリンベースの酸である請求項18に記載の方
    法。
  24. 【請求項24】 リン含有酸溶液が、硝酸と、塩酸と、
    過塩素酸と、これらの組み合わせとから成る群より選択
    される揮発性無機酸を更に有する請求項18に記載の方
    法。
  25. 【請求項25】 リン含有酸溶液が、シュウ酸と、グリ
    オキシル酸と、これらの組み合わせとから成る群より選
    択されたる有機酸を有する請求項18に記載の方法。
  26. 【請求項26】 第1の界面活性剤と第2の界面活性剤
    が、p−(CH33C−CH2−C64−CH2−(OC
    2CH28OHと、p−(CH33COC64CH
    2(OCH2CH28−OHと、その他のポリエチレンオ
    キサイド共重合誘導体と、ポリエチレンオキサイド−ポ
    リプロピレンオキサイド−ポリエチレンオキサイド3ブ
    ロック共重合誘導体と、これらの組み合わせとから成る
    群より選択される請求項18に記載の方法。
  27. 【請求項27】 第1の界面活性剤と第2の界面活性剤
    が更に、リン成分を有する請求項18に記載の方法。
  28. 【請求項28】 リンベースの成分が、p−(CH33
    CCH264CH2(OCH2CH2N−OHと、p−
    (CH33COC64CH2(OCH2CH2 N−OH
    と、CH3(CH2K−OH、CH3(CH2I(CH2
    CH2O)J−OHと、HO(CH2CH2O)M(CH2
    (CH3)HO)L(CH2CH2O)MH、p−(CH3
    3CCH2C(CH3264(OCH2CH2N−OH
    と(ここで、Nは6〜12の整数、Kは13〜17の整
    数、Iは6〜15の整数、Jは20〜106の整数、L
    は20〜80の整数)、これらのフッ素誘導体と、これ
    らの組み合わせとから成る群より選択されるアルコール
    末端界面活性剤のリン酸塩である請求項27に記載の方
    法。
  29. 【請求項29】 リン含有酸が、 正リン酸(H3PO4)と、リン酸二水素アンモニウム
    と、リン酸二水素テトラメチルアンモニウムと、長鎖ア
    ルコールのリン酸エステルと、アルコキシシリルホスホ
    ン酸塩と、これらの置換誘導体と、これらの組み合わせ
    とから成る群より選択されたリンベースの酸と、 硝酸と、塩酸と、過塩素酸と、これらの組み合わせとか
    ら成る群より選択される揮発性酸とを有する請求項18
    に記載の方法。
  30. 【請求項30】 第1及び第2のゾルゲル前駆物質の混
    合比が約1:1〜約10:1である請求項18に記載の
    方法。
  31. 【請求項31】 リン含有酸溶液のpHが約2である請
    求項18に記載の方法。
  32. 【請求項32】 堆積ゾルゲル前駆物質のキュアの後、
    リン含有酸溶液のpHが約6〜約7である請求項18に
    記載の方法。
  33. 【請求項33】 メゾポーラス酸化膜が、重量で約2%
    〜約8%の酸化リン濃度を有する請求項18に記載の方
    法。
  34. 【請求項34】 界面活性剤を除去するプロセスが、約
    200℃〜約400℃の温度で酸化環境へ膜を曝露する
    ステップを有する請求項18に記載の方法。
  35. 【請求項35】 界面活性剤を除去するプロセスが、不
    活性雰囲気内で約200℃〜約450℃の温度で膜を加
    熱するステップを有する請求項18に記載の方法。
  36. 【請求項36】 デュアルダマシン構造を形成する方法
    であって、 第1のエッチストップを基板上に堆積するステップと、 リンドープの第1のメゾポーラス酸化膜を、第1のエッ
    チストップ上に堆積するステップと、 第2のエッチストップを、リンドープの第1のメゾポー
    ラス酸化膜の上に堆積するステップと、 リンドープの第2のメゾポーラス酸化膜を、第2のエッ
    チストップ上に堆積するステップと、 第3のエッチストップを、リンドープの第2のメゾポー
    ラス酸化膜の上に堆積するステップと、 第3のエッチストップとリンドープの第2のメゾポーラ
    ス酸化膜とをエッチングして、垂直の相互接続部開口を
    画定するステップと、 該垂直の相互接続部開口の中で、第2のエッチストップ
    と、リンドープの第1のメゾポーラス酸化膜と、第1の
    エッチストップとをエッチングして、更に垂直相互接続
    部を画定して基板を露出し、また第3のエッチストップ
    とリンドープの第2のメゾポーラス酸化膜とをエッチン
    グして水平の相互接続部を画定し、デュアルダマシンの
    表面形状を形成するステップとを有する方法。
  37. 【請求項37】 画定された相互接続部の中に、共形の
    バリア層膜を堆積するステップを更に有する請求項36
    に記載の方法。
  38. 【請求項38】 共形のバリア層の上に、銅の層を堆積
    するステップを更に有する請求項37に記載の方法。
  39. 【請求項39】 銅層の上に、キャップ層を堆積するス
    テップを更に有する請求項38に記載の方法。
  40. 【請求項40】 リンドープの第1メゾポーラス酸化膜
    とリンドープの第2のメゾポーラス酸化膜が、重量で約
    2%〜約8%の酸化リン濃度を有する請求項38に記載
    の方法。
  41. 【請求項41】 第3のエッチストップとリンドープの
    第2のメゾポーラス酸化膜のエッチングの前に、第3の
    エッチストップの上にパターニング酸化層を堆積するこ
    とで、水平相互接続開口が画定される請求項38に記載
    の方法。
  42. 【請求項42】 第3のエッチストップとリンドープの
    第2のメゾポーラス酸化膜のエッチングの前に、パター
    ニングフォトレジスト膜をパターニング酸化層の上に堆
    積することで、垂直相互接続開口が画定される請求項3
    8に記載の方法。
JP2001113148A 2000-04-11 2001-04-11 メゾポーラス酸化膜の形成方法及びデュアルダマシン構造の形成方法 Expired - Fee Related JP4953515B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/547714 2000-04-11
US09/547,714 US6559070B1 (en) 2000-04-11 2000-04-11 Mesoporous silica films with mobile ion gettering and accelerated processing

Publications (2)

Publication Number Publication Date
JP2002075983A true JP2002075983A (ja) 2002-03-15
JP4953515B2 JP4953515B2 (ja) 2012-06-13

Family

ID=24185830

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001113148A Expired - Fee Related JP4953515B2 (ja) 2000-04-11 2001-04-11 メゾポーラス酸化膜の形成方法及びデュアルダマシン構造の形成方法

Country Status (6)

Country Link
US (1) US6559070B1 (ja)
EP (1) EP1146014B1 (ja)
JP (1) JP4953515B2 (ja)
KR (1) KR100726523B1 (ja)
DE (1) DE60109675T2 (ja)
TW (1) TW490738B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004273786A (ja) * 2003-03-10 2004-09-30 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
JP2005191437A (ja) * 2003-12-26 2005-07-14 Ricoh Co Ltd 半導体装置、その製造方法、および表示装置
JP2005522053A (ja) * 2002-04-02 2005-07-21 ダウ グローバル テクノロジーズ インコーポレイティド デュアルダマシン配線をパターン形成する三層マスキングアーキテクチャ
JP2007158066A (ja) * 2005-12-06 2007-06-21 Ulvac Japan Ltd 絶縁膜、その製造方法及びその絶縁膜を用いた多層配線構造
JP2008543744A (ja) * 2005-05-09 2008-12-04 マイクロビア インコーポレーテッド 有機金属ベンゼンホスホナートカップリング剤
JP2010123992A (ja) * 2002-05-30 2010-06-03 Air Products & Chemicals Inc 低誘電率材料を調整するための組成物
JP2010251784A (ja) * 2010-06-16 2010-11-04 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
JP2015128174A (ja) * 2002-05-17 2015-07-09 株式会社半導体エネルギー研究所 半導体装置

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AUPQ573300A0 (en) * 2000-02-21 2000-03-16 Australian Nuclear Science & Technology Organisation Controlled release ceramic particles, compositions thereof, processes of preparation and methods of use
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
WO2002004552A1 (en) * 2000-07-06 2002-01-17 Commonwealth Scientific And Industrial Research Organisation A process for modifying the surface of a substrate containing a polymeric material by means of vaporising the surface modifying agent
EP1393366A2 (en) * 2001-05-08 2004-03-03 Koninklijke Philips Electronics N.V. Method of manufacturing an electronic device
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6930364B2 (en) * 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20040142578A1 (en) * 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
JP4056347B2 (ja) * 2002-09-30 2008-03-05 ローム株式会社 半導体発光装置およびその製造方法
KR100790302B1 (ko) * 2002-12-24 2007-12-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR101048692B1 (ko) * 2003-11-11 2011-07-14 엘지디스플레이 주식회사 클러스터형 증착 장비 및 이를 이용한 박막 트랜지스터의제조 방법
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7071093B2 (en) 2004-03-26 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated treatment method for obtaining robust low dielectric constant materials
US7282436B2 (en) * 2004-05-11 2007-10-16 Texas Instruments Incorporated Plasma treatment for silicon-based dielectrics
JP2005322854A (ja) * 2004-05-11 2005-11-17 Olympus Corp 基板処理装置及び基板処理システム
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4650885B2 (ja) * 2004-09-07 2011-03-16 株式会社神戸製鋼所 多孔質膜の形成方法及びその方法によって形成された多孔質膜
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
KR100725016B1 (ko) * 2005-12-08 2007-06-04 동부일렉트로닉스 주식회사 반도체 소자의 트렌치 분리막 제조 방법
WO2007075680A2 (en) * 2005-12-19 2007-07-05 University Of Vermont And State Agricultural College System and method for delivering a desired material to a cell
JP2009526888A (ja) * 2006-02-17 2009-07-23 ビーエーエスエフ ソシエタス・ヨーロピア アリールホスホン酸で置換されたオリゴマー状およびポリマー状のシロキサン
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7892515B2 (en) * 2006-06-07 2011-02-22 GM Global Technolgy Operations LLC Making mesoporous carbon with tunable pore size
US8110508B2 (en) * 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
KR100965434B1 (ko) * 2008-01-29 2010-06-24 한국과학기술연구원 졸-겔 및 광경화 반응에 의해 광경화 투명고분자 내에금속산화물 나노입자를 포함하는 게이트 절연층을 이용한유기박막 트랜지스터 및 그의 제조방법
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
CN101293962B (zh) * 2008-06-18 2011-03-23 华东理工大学 芳基杂化硅胶及其用途
JP2010132485A (ja) * 2008-12-03 2010-06-17 Keio Gijuku メソポーラスシリカ多孔質膜の形成方法、その多孔質膜、反射防止膜及び光学素子
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8159778B2 (en) * 2009-04-06 2012-04-17 Hitachi Global Storage Technologies, Netherlands B.V. Hard disk drive contamination control
US8354333B2 (en) 2010-02-03 2013-01-15 International Business Machines Corporation Patterned doping of semiconductor substrates using photosensitive monolayers
CN101792470B (zh) * 2010-03-18 2012-03-07 武汉理工大学 一种用于中温质子交换膜中的膦酸基烷氧基硅烷的制备方法
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US8968877B2 (en) 2011-02-16 2015-03-03 Honeywell International Inc. Barrier materials for mirror assemblies
US20120237676A1 (en) * 2011-03-14 2012-09-20 Intermolecular, Inc. Sol-gel based formulations and methods for preparation of hydrophobic ultra low refractive index anti-reflective coatings on glass
US20120252924A1 (en) * 2011-03-29 2012-10-04 Cassandra Ann Mahoney Flavored silicone products
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
CN103367222B (zh) * 2012-04-10 2016-08-17 上海卓晶半导体科技有限公司 一种多片盒升降旋转***
CN104086591B (zh) * 2014-07-15 2016-05-11 武汉理工大学 基于格氏反应的苯基膦酸三甲氧基硅烷的制备方法
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US10699944B2 (en) 2018-09-28 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification layer for conductive feature formation
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US11921422B2 (en) * 2021-04-09 2024-03-05 Applied Materials, Inc. Single-volume baking chamber for mask clean
CN114005733B (zh) * 2021-10-19 2022-08-09 深圳辰达行电子有限公司 车规级整流芯片的制成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63268043A (ja) * 1987-04-27 1988-11-04 Diesel Kiki Co Ltd マイクロコンピユ−タのリセツト方式
WO1999037705A1 (en) * 1997-12-09 1999-07-29 The Regents Of The University Of California Block polymer processing for mesostructured inorganic oxide materials
WO1999041423A2 (en) * 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP2001287909A (ja) * 2000-04-04 2001-10-16 Asahi Kasei Corp 多孔質のケイ素酸化物塗膜

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS608263B2 (ja) * 1983-07-29 1985-03-01 東京電子化学株式会社 シリカ系被膜形成用塗布液の製法
US4944895A (en) * 1987-04-10 1990-07-31 Hoechst Celanese Corp. Process for producing liquid crystalline composites
US5047369A (en) * 1989-05-01 1991-09-10 At&T Bell Laboratories Fabrication of semiconductor devices using phosphosilicate glasses
US5814545A (en) * 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
JP3436037B2 (ja) * 1997-01-10 2003-08-11 株式会社豊田中央研究所 バルク状シリカ多孔体の製造方法
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6048804A (en) * 1997-04-29 2000-04-11 Alliedsignal Inc. Process for producing nanoporous silica thin films
RU2180671C2 (ru) * 1997-07-15 2002-03-20 Асахи Касеи Кабусики Кайся Композиция алкоксисилан/органический полимер для использования в получении изолирующей тонкой пленки и ее применение
JPH1135315A (ja) * 1997-07-18 1999-02-09 Toyota Central Res & Dev Lab Inc 高密度メソ多孔体の製造方法
US5858457A (en) * 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
JP4040255B2 (ja) * 1998-12-23 2008-01-30 バトル・メモリアル・インスティチュート 界面活性剤を含む溶剤から調製するメソポーラスシリカ薄膜及びその製造方法
US6284682B1 (en) * 1999-08-26 2001-09-04 The University Of British Columbia Process for making chemically bonded sol-gel ceramics

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63268043A (ja) * 1987-04-27 1988-11-04 Diesel Kiki Co Ltd マイクロコンピユ−タのリセツト方式
WO1999037705A1 (en) * 1997-12-09 1999-07-29 The Regents Of The University Of California Block polymer processing for mesostructured inorganic oxide materials
WO1999041423A2 (en) * 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP2001287909A (ja) * 2000-04-04 2001-10-16 Asahi Kasei Corp 多孔質のケイ素酸化物塗膜

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005522053A (ja) * 2002-04-02 2005-07-21 ダウ グローバル テクノロジーズ インコーポレイティド デュアルダマシン配線をパターン形成する三層マスキングアーキテクチャ
JP2015128174A (ja) * 2002-05-17 2015-07-09 株式会社半導体エネルギー研究所 半導体装置
US9847355B2 (en) 2002-05-17 2017-12-19 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, and semiconductor device
JP2010123992A (ja) * 2002-05-30 2010-06-03 Air Products & Chemicals Inc 低誘電率材料を調整するための組成物
JP2004273786A (ja) * 2003-03-10 2004-09-30 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
JP2005191437A (ja) * 2003-12-26 2005-07-14 Ricoh Co Ltd 半導体装置、その製造方法、および表示装置
JP2008543744A (ja) * 2005-05-09 2008-12-04 マイクロビア インコーポレーテッド 有機金属ベンゼンホスホナートカップリング剤
JP2007158066A (ja) * 2005-12-06 2007-06-21 Ulvac Japan Ltd 絶縁膜、その製造方法及びその絶縁膜を用いた多層配線構造
JP2010251784A (ja) * 2010-06-16 2010-11-04 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法

Also Published As

Publication number Publication date
US6559070B1 (en) 2003-05-06
EP1146014A2 (en) 2001-10-17
KR100726523B1 (ko) 2007-06-11
EP1146014B1 (en) 2005-03-30
KR20010098523A (ko) 2001-11-08
EP1146014A3 (en) 2002-04-03
DE60109675T2 (de) 2006-04-27
TW490738B (en) 2002-06-11
JP4953515B2 (ja) 2012-06-13
DE60109675D1 (de) 2005-05-04

Similar Documents

Publication Publication Date Title
JP4953515B2 (ja) メゾポーラス酸化膜の形成方法及びデュアルダマシン構造の形成方法
EP1124252A2 (en) Apparatus and process for processing substrates
US6733955B1 (en) Methods for forming self-planarized dielectric layer for shallow trench isolation
US20070087522A1 (en) Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner
US7023092B2 (en) Low dielectric constant film produced from silicon compounds comprising silicon-carbon bonds
JP4558206B2 (ja) Cvdナノ多孔性シリカの低誘電率膜
US7399697B2 (en) Very low dielectric constant plasma-enhanced CVD films
TWI723074B (zh) 紫外光可流動介電質用設備
US20050227502A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US20080099920A1 (en) Multi-stage curing of low k nano-porous films
WO2011153484A2 (en) Silicon dioxide layer deposited with bdeas
US6875558B1 (en) Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
KR20040030827A (ko) 보로포스포실리케이트 유리 필름의 화학 기상 증착 방법
US6136729A (en) Method for improving semiconductor dielectrics
EP1079426A1 (en) Integration scheme using selfplanarized dielectric layer for shallow trench isolation (STI)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080325

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101124

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111101

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120214

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120313

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150323

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees