JP2001524753A - ダメージフリー被覆刻設堆積法 - Google Patents

ダメージフリー被覆刻設堆積法

Info

Publication number
JP2001524753A
JP2001524753A JP2000522622A JP2000522622A JP2001524753A JP 2001524753 A JP2001524753 A JP 2001524753A JP 2000522622 A JP2000522622 A JP 2000522622A JP 2000522622 A JP2000522622 A JP 2000522622A JP 2001524753 A JP2001524753 A JP 2001524753A
Authority
JP
Japan
Prior art keywords
layer
engraved
deposited
substrate
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000522622A
Other languages
English (en)
Other versions
JP2001524753A5 (ja
JP4947834B2 (ja
Inventor
トニー チャン,
ゴングダ ヤオ,
ペイジュン ディン,
フセン チェン,
バリー チン,
ジェネ コハラ,
ツェン キュー,
ホン チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25526388&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2001524753(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001524753A publication Critical patent/JP2001524753A/ja
Publication of JP2001524753A5 publication Critical patent/JP2001524753A5/ja
Application granted granted Critical
Publication of JP4947834B2 publication Critical patent/JP4947834B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】 堆積層のイオンが衝突することによって浸食および汚染されないように、刻設された層が適用された表面が保護される、イオン堆積スパッタリング法を用いて刻設された材料層を半導体の特徴表面に適用する方法であって、a)刻設された層の第1の部分を、前記刻設された層が適用される表面が前記半導体の特徴の性能または寿命に有害な量で浸食または汚染されない程度に基板バイアスを十分低くして適用するステップと、b)前記刻設された層の次の部分を、さらなる層材料を堆積させながら、前記第1の部分からの形状を刻設することができる程度に基板バイアスを十分高くして適用するステップとを含む半導体の特徴表面に刻設された材料層を適用する方法が開示されている。この方法は、半導体の特徴表面上にバリヤ層、ウェッティング層、および導電層を刻設するのに特に適しており、導電層が銅である場合は特に有益である。バリヤ層を適用する際、標準的なスパッタリング技術かもしくはイオン堆積プラズマ法を用いて、バリヤ層材料の第1の部分が基板表面上に堆積されているが、このときさらに、デバイス性能または寿命に有害な量でイオンに衝突された表面がスパッタリングされない程度に十分低い基板バイアス電圧(印加されていない基板電圧を含む)をかける。引き続き、バリヤ層材料の第1の部分をリスパッタリング(刻設)させる、より高い基板バイアス電圧で、イオン堆積スパッタリングを用いてバリヤ材料の第2の部分を適用しながら、新しい堆積材料のより異方性な堆積が可能となる。バリヤ層を参照して上述したものと同じ刻設技術を用いて、特徴に適用される導電材料、特に銅のシード層が形成される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、下地表面へのダメージや汚染の可能性をなくすか、もしくはその可
能性をかなり低下させるように、高アスペクト比の半導体特徴(feature
s)の壁を覆う刻設された被覆(sculptured coating)をス
パッタリングする方法に関する。
【0002】
【従来の技術】
半導体のパターン化された金属特徴の特徴サイズが縮小されるにつれ、当該分
野で既知の技術を用いては、多層冶金処理を施すことが特に困難となっている。
さらに、現在好適な冶金とされるアルミニウムを、それに比べて抵抗率が低くさ
らにエレクトロマイグレーション耐性が高いために、いくつかの応用において銅
に切り替えることも、将来の技術的要求に含まれている。ブランケットメタルを
パターニングするためによく用いられる標準的な反応性イオンエッチング法では
、温度が低い(約200℃を下回る温度)状態では銅が揮発性の分解物を発生し
ないため、銅を扱う場合には特に困難となる。それに替わる堆積リフトオフ技術
は、銅がリフトオフ溶剤によって腐食しやすければ、銅構造における適応可能性
において制限される。したがって、銅からなる素子を形成するための主要な処理
は、食刻構造であり、埋め込まれた状態のトレンチおよび/またはバイアを充填
する必要がある。
【0003】 0.5ミクロン(μ)以下の範囲にある特徴サイズを有する食刻された多層構
造を製造するための通常の工程は、以下の工程からなる。すなわち、誘電体材料
を全面堆積する工程、誘電体材料をパターニングして開口を形成する工程、誘電
体材料の表面全体へバリヤ層を設ける工程、開口を充填するのに十分な厚みをも
つ導電材料を基板へ堆積する工程、化学的技術、機械的技術、もしくは化学機械
研磨などのようなこれらの技術を組み合わせた技術を用いて基板表面から余分に
堆積した導電材料を除去する工程である。特徴サイズがおよそ0.25μより小
さいものである場合、通常、化学的気相堆積法(CVD:Chemical V
apor Deposition)、蒸着法、電気めっき法、もしくはイオン堆
積スパッタリング法から選択された方法を用いて、バリヤ層および/または導電
充填層が堆積される。化学気相成長法は、本質的に完全にコンフォーマルなもの
であるが、特に特徴が高アスペクト比の場合、充填された開口の中心にボイドを
生じる傾向がある。さらに、蒸着源からの汚染物が堆積された導電材料で見つけ
られることが多く、これは密着性や他の膜特性に影響を及ぼす場合がある。蒸着
法は、特徴の深さが浅いものを被覆するには問題はないが、高アスペクト比の特
徴を充填するには、蒸着技術の堆積速度が特に遅く、またステップカバレージが
劣ることもあって、一般に実用的ではない。電気めっき法は、コンタクトバイア
を充填する方法として最近有望視されてきたが、適切なシード層が電気めっきを
施す前に堆積されなければ、電気めっきを施した銅の結晶方向はエレクトロマイ
グレーションを減少させるためには最適なものでなくなる。スパッタリングした
銅を用いて、電気めっきした銅かもしくはCVDを施した銅の充填層が全体に適
用されたシード層を設けることにより、結晶構造が改良されてデバイス特性が向
上する。
【0004】 銅を用いた応用にどのような技術を用いようとも、それを適用する前に、銅を
隣接する材料に拡散しないようにするバリヤ層を設けることが必要となる。バリ
ヤ層は、連続したもので、かつ銅原子を拡散させてしまうような開口をまったく
含んでいないものである必要がある。バリヤ層が、アスペクト比が3:1よりも
大きいものであり、かつ特徴のサイズが0.5μm以下である特徴の表面を被覆
しなければない場合、このようにバリヤ層を連続させて形成することは非常に困
難である。バリヤ層を設けるための好適な方法は、プラズマスパッタリングを用
いた物理的気相堆積法(PVD:Physical Vapor Deposi
tion)であり、この方法を用いると堆積速度が速くなるため、PVD法の中
では好ましい方法のものである。蒸着を実行するのに必要な装置が簡単であるた
め、可能であれば、従来のプラズマスパッタリングが使用される。特に小さな特
徴サイズ、例えば0.25μよりも小さな特徴のサイズが含まれる場合、イオン
堆積プラズマ(IMP)スパッタリング技術を用いることが必要な場合がある。
【0005】 被覆層を刻設するのが困難であるため、高アスペクト比で寸法が小さな特徴に
適合する層がバリヤ層かまたは主に導電層であるかにかかわらず、多くの技術が
開発され、適切な形状を有する被覆層を提供する試みがなされてきた。
【0006】 1974年5月17日に発行されたRudolph Eschbachの米国
特許第5,312,509号公報には、高純度金属の低温化学気相成長(CVD
)用の製造システムが開示されている。さらに詳しく言えば、エッチングされた
パターンを含む半導体基板がプラズマ洗浄され、接着層や核生成シード層でスパ
ッタ被覆されて、CVD法を用いて導電層が設けられる。CVD法で堆積された
金属は、コンピュータガイダンスシステムを用いて制御されるリアクタと基板の
状態を種々に組み合わせて形成される。このような製造システムは、低温で純銅
をCVD堆積する際に用いることが推奨されている。
【0007】 1985年4月30日に発行されたPrem Nathの米国特許第4,51
4,437号公報には、インジウム錫酸化物などの薄膜を基板に堆積させるため
の方法および装置が開示されている。この堆積工程は、電子、半導体、光電池デ
バイスの製造において一つのステップからなる。固体材料源を蒸発させるために
電子ビームが用いられ、反応ガスからイオン化可能なプラズマを供給するために
電磁エネルギーが用いられる。蒸発させた固体材料をプラズマを介して通過させ
ることで、基板に堆積させる前に活性化される。このようにして、固体材料と反
応ガスが励起され、新しく形成された化合物を基板に堆積させる前にそれらを相
互作用させることが容易になる。
【0008】 1990年7月31に発行されたLu等の米国特許第4,944,961号公
報には、半導体ウェハなどの基板上に金属もしくは金属合金を部分的にイオン化
したビームで堆積するためのプロセスが記載されている。るつぼの出口でるつぼ
から蒸発した金属が部分的にイオン化され、イオン化された蒸気はバイアスをか
けて基板に引きつけられる。基板温度を制御することで、トレンチやバイアなど
の階段状の表面が非コンフォーマルなカバレージになるとされている。さらに高
い温度が使用される場合、階段状の表面は平坦化される。ここに挙げられた例は
、アルミニウムの堆積であり、非コンフォーマルな堆積法が、約150℃から約
200℃の範囲にある基板温度で実行され、さらに平坦化堆積法が約250℃か
ら約350℃の範囲にある基板温度で実行されている。
【0009】 1990年12月11日に発行された米国特許第4,976,839号公報に
は、不活性ガスや窒素からなる他のガスに対して1容量%から5容量%の割合の
酸素を含む混合ガスで反応スパッタリングさせて形成した500Åから2,00
0Åの厚みを有する窒化チタンバリヤ層が開示されている。ここでは、窒化チタ
ンバリヤ層を堆積させる間のシリコン基板の温度は、スパッタリング中約350
℃から約500℃の範囲にあり、窒化チタン膜の抵抗率は、「100μΩ−cm
よりも小さい」ものであった。
【0010】 1993年9月21日に発行されたBraren等の米国特許第5,246,
885号公報では、高アスペクト比の特徴を充填するためにレーザアブレーショ
ンシステムの使用が提案されている。合金、グレード層および純金属は、エネル
ギービームを用いて2以上の材料からなるターゲットをアブレーションして、あ
る特定の角度でターゲットに衝突させることによって堆積される。アブレーショ
ンされた材料は、主にアブレーションされた材料のイオンからなるプラズマを作
り出すものとされ、プラズマは材料が堆積される予定の表面の方向に高い指向性
をもつようにされる。好適なエネルギービーム源は、UVレーザである。堆積表
面の加熱はビームにより堆積される全エネルギーに制限され、これは最小限に抑
えるべきであるとされている。
【0011】 J.Vac.Sci.Technol.B.のVol.12,No.1,Ja
n/Feb 1994で発表されたS.M.RossnagelおよびJ.Ho
pwoodによる「イオン化されたマグネトロンスパッタリング放電からのメタ
ルイオン堆積(Metal ion deposition from ion
ized magnetron sputtering discharge)
」と題した1993年の論文において、従来のマグネトロンスパッタリングとス
パッタリング陰極と基板間の領域にある高密度の誘電結合RFプラズマを組み合
わせる技術が記載されている。ここで挙げられている例のうちの1つは、反応ス
パッタリングを用いて窒化チタン膜を堆積する例であり、この例ではアルゴンガ
スおよび窒素ガスを組み合わせて形成したプラズマと組み合わせてチタン陰極が
用いられている。生成される膜の抵抗率は、約200μΩ−cmから約75μΩ
−cmの範囲のものであり、より低い抵抗率の膜を発生させるためにはイオンエ
ネルギーをさらに大きくしなければならない。しかしながら、イオンエネルギー
を大きくすればするほど、膜にかかる応力もさらに大きくなってしまう。膜の剥
離は700Åを超える厚みに共通しており、回路外形の特徴での堆積が裂けて層
間剥離することになる。
【0012】 J.Vac.Sci.Technol.B 14(3),May/Jun 1
996のS.M.RossnagelおよびJ.Hopwoodによる「拡散バ
リヤ、接着層およびシード層適用時に原子量の大きい不応性のある薄膜を堆積す
る方法(Thin,high atomic weight refracto
ry film deposition for diffusion bar
rier,adhesion layer,and seed layer a
pplications)」と題した論文には、拡散バリヤを堆積させる際、指
向性の程度を制御することが可能な技術が記載されている。さらに詳しく言えば
、この論文にはタンタル(Ta)を堆積させる方法が記載されており、この方法
によりタンタル原子を相互接続用のバイアおよびトレンチの急勾配の側壁に堆積
させることができる。この方法は、堆積する原子の指向性を高めて、低圧で従来
のコリメートされていないマグネトロンスパッタリングを用いる。指向性を高め
るためには、陰極とワークピース表面との間の距離(スロー(throw))を
長くし、スパッタリング中のアルゴンの圧力を下げる。商用陰極(Applie
d Materials Endura(登録商標)クラス:直径30cmの円
形板陰極)に堆積された膜と回転磁石で規定された浸食経路に対して、25cm
のスローは挿間されるコリメータの1.0に近いアスペクト比にほぼ等しいもの
とされる。本願開示において、従来のコリメートされていない低圧マグネトロン
スパッタリングとともにこのような「ロングスロー」技術の使用は、「ガンマス
パッタリング」と呼ばれる。ガンマスパッタリングを用いることで、トレンチの
特徴が0.5μm幅のものに対してアスペクト比が2.8:1のトレンチの側壁
上にコンフォーマルな薄い被膜を堆積することができる。しかしながら、ガンマ
スパッタリングされたTaN膜が比較的高い膜の残留圧縮応力を示し、これによ
りTa膜または窒化タンタル(例えば、Ta2NまたはTaN)膜は下地基板( 通常、酸化シリコン誘電体)から剥離してしまう可能性がある。その代わりに、
膜が剥離しなくても、膜応力により基板(通常、シリコンウェハ)表面上の特徴
が歪んだり、もしくは薄いウェハが変形してしまうこともある。
【0013】 1994年10月11日に発行されたHo等の米国特許第5,354,712
号公報には、集積回路の相互接続構造を形成するための方法が記載されている。
スパッタリングされる窒化チタン(TiN)などの導電材料のバリヤ層が、誘電
体層により画定されるトレンチ表面上に配置されることが好ましい。TiNによ
り次に金属を堆積するためのシード層が設けられる。CVD技術を用いて導電性
のバリヤ層上に銅のコンフォーマル層が選択的に堆積される。
【0014】 1996年12月17日に発行されたJoshi等の米国特許第5,585,
763号公報には、不応性金属を被せた抵抗率が低い金属導電体のラインおよび
バイアが開示されている。さらに詳しく言えば、物理気相成長法(例えば、蒸着
法またはコリメートスパッタ法)を用いて低抵抗率の金属が設けられ、その後、
不応性の金属キャップの化学気相成長(CVD)が施される。推奨されている相
互接続金属には、AlxCuy(ここで、xおよびyの合計は1であり、xおよび
yは共に0以上である)が含まれている。
【0015】 コリメートスパッタ法に必要な装置は、一般に、時間の経過中コリメータ上に
スパッタリングされる材料の立ち上がりが一定のものであるため、維持および制
御がともに困難なものとなる。コリメートスパッタ法は、1995年12月26
日に発行されたActor等の米国特許第5,478,455号公報に記載され
ている。スパッタリングまたは蒸着にかかわらず、基板に到達するスパッタリン
グされた流束が減少するため、コリメートは本質的に堆積処理が低速になる。
【0016】 1997年5月13日に出願された本発明の出願人による米国特許出願第08
/855,059号には、スパッタリング技術を用いて銅で半導体のワークピー
ス表面上の特徴を充填する方法が記載されている。基板表面の温度は、銅層を形
成している間、特定の温度域内に制御される。スパッタリング法は、ガンマスパ
ッタリング法、コヒーレントスパッタリング法、IMP(イオンメタルプラズマ
)法や従来のスパッタリング法を含む多数の使用可能なスパッタリング法から選
択され、これらすべてが詳細に記載されている。米国特許出願第08/855,
059号の内容全体を参照により本願明細書に引用したものとする。
【0017】 本発明の譲受人に譲渡され、その内容全体を参照により本願明細書に引用する
1995年8月7日に出願されたXu等の米国特許出願第08/511,825
号には、キャリア層として作用する窒化チタンからなるバリヤ層を形成する方法
が記載されている。キャリア層により、高アスペクト比のバイア、ホールまたは
トレンチなどの孔を充填して、従来技術の方法と比較して低温でキャリア層上に
設けられる導電膜が平坦化できる。Xu等の好適な実施形態のキャリア層は、T
i/TiN/Tiからなる3層構造のものであり、これはイオン堆積(またはイ
オンメタルプラズマ)スパッタリング技術を用いて堆積される。本出願の図1に
は、Xu等のキャリア層を含むコンタクトバイアの断面の略図を示している。さ
らに詳しく言えば、図1は、高アスペクト比の孔113に形成された例示的なコ
ンタクト118を示している。具体的に言うと、孔113のアスペクト比は約5
:1であり、ここで幅寸法120は約0.25μで、寸法122は約1.2μで
ある。コンタクト118は、少なくとも2つのサブエレメントからなる。すなわ
ち、バリヤ層としても作用するキャリア層100と、キャリア層100上に堆積
された導電材料119であり、これらはキャリア層が形成された後に残る孔の容
積を充填するためのものである。
【0018】 キャリア/バリヤ層100を参照すると、この3層からなる構造は、二酸化シ
リコン層111とシリコン基板110の両表面上に設けられる前に、ターゲット
からスパッタされ部分的にイオン化(10%から100%のイオン化)されたチ
タンからなる第1の副層112から形成される。ターゲットを離れた後基板上に
堆積する前にターゲット材料がイオン化される技術は、「イオン堆積スパッタリ
ング法」か、もしくは「イオンメタルプラズマ(IMP)」スパッタリング法と
呼ばれる。第2の副層114は、部分的にイオン化され窒素と反応させたスパッ
タリングされたチタン層であり、第1の副層112上に堆積させる前に窒化チタ
ンを形成する。第3の副層116は、部分的にイオン化された状態で堆積させた
、スパッタリングしたチタンと窒化チタンの両方からなる層である。
【0019】 キャリア/バリヤ層が一旦堆積されると、およそ800Åの厚みを有するコン
フォーマル層が形成され、導電材料119で充填すべき孔の中に内部容積117
を残す。コンフォーマルキャリア/バリヤ層100は、部分的にイオン化されス
パッタリングされたチタンと窒化チタンを用いて堆積されたもので、部分的にイ
オン化された材料は、基板の支持プラテン(図示せず)上にある電界により孔の
基板110と111の方向へと向けられたものである。部分的にイオン化されス
パッタリングされた材料と基板上に電界を設けるために用いる装置は、Xu等の
特許出願に詳細に記載されており、以下により一般的な用語で記載される。
【0020】 Xu等の図1に記載されたコンフォーマルキャリア/バリヤ層100は、基板
を配置した、十分な電界(バイアス)を支持プラテン(図示せず)にかけて、バ
イアスを基板自体に与えることだけで達成される。通常、基板のバイアスは約−
70Vである。
【0021】 層112を形成している間に約−70Vの基板バイアスをかけると、下地のシ
リコン基板110と二酸化シリコン側壁基板111にイオンが強い衝撃を与える
ことになり、結果としてこれらの表面を同時にスパッタリングすることになるこ
とが分かった。シリコン基板110と二酸化シリコン基板111からスパッタリ
ングされた原子は、他の材料の周辺表面と共にバリヤ層112の組成をも汚染し
てしまう。本発明は、周辺表面をほとんど汚染もしくは乱さずに、スパッタリン
グされたキャリア/バリヤ層100を堆積させて、所望の形状に刻設する方法を
提供する。
【0022】
【課題を解決するための手段】
本発明では、堆積層のイオンが衝突することによって浸食および汚染しないよ
うに、刻設された層が適用された表面が保護されるているイオン堆積スパッタリ
ング法を用いて、刻設された材料層を半導体の特徴表面に適用する方法であって
、 a)従来のスパッタリング法またはイオン堆積スパッタリング法を用いて刻設
された層の第1の部分を、前記刻設された層が適用される表面が前記半導体の特
徴の性能または寿命に有害な量で浸食または汚染されない程度に基板バイアスを
十分低くして適用するステップと、 b)イオン堆積スパッタリングを用いて前記刻設された層の次の部分を、さら
なる層材料を堆積させながら、前記第1の部分からの形状を刻設することができ
る程度に基板バイアスを十分高くして適用するステップとからなる半導体の特徴
表面に刻設した材料層を適用する方法が開示されている。
【0023】 この方法は、半導体の特徴表面上にバリヤ層、ウェッティング層、および導電
層を刻設することに特に適している。例えば、導電層がタングステンであり、バ
リヤ層がチタンの場合、チタン層を堆積するための方法を用いることで、コンタ
クトバイアの底面に隣接した表面からスパッタリングオフされる不純物によって
チタンが汚染されないため、コンタクトの抵抗率が大きくなることを防ぐ。導電
層がアルミニウムであり、下地層がチタンウェッティング層である場合、チタン
を堆積するための方法を用いることにより、チタンを堆積中に隣接した二酸化シ
リコン表面からスパッタリングオフされた酸素によってチタンウェッティング層
が汚染されない。汚染されていないチタン層上に引き続き適用されるアルミニウ
ム層が、よりよい状態でチタン層に流れることになる。例えば、導電層が銅であ
り、下地層がタンタルバリヤ層である場合、この方法を用いることによって、特
徴のサイズが小さくアスペクト比が高くても、汚染されていないコンフォーマル
なタンタルバリヤ層を堆積することができる。
【0024】 下地層が銅の場合、銅を分離するために適切なバリヤ層が用いられていなけれ
ば、銅の表面の拡散特性によって隣接材料に拡散されるため、コンフォーマルな
タンタルバリヤ層が比較的均一な厚みをもつことが非常に重要なこととなる。銅
が隣接した材料内に拡散されないようにするために、銅を分離させるために用い
るバリヤ層は連続したものでなければならなく、特徴の外形にもよるが、層はコ
ンフォーマルなもので、その最小厚みが少なくとも約5Åのものが好ましい。例
えば、トレンチやコンタクトバイアのような特徴のアスペクト比が高く(通常、
約3:1より大きい)、特徴サイズが小さい場合(通常、トレンチまたはバイア
の底面の最大寸法は約0.5μ以下のものである)、トレンチまたはバイアのベ
ース部付近の壁のバリヤ層の厚みは薄くなる傾向にあるが、この例に限られたも
のではない。アスペクト比が大きくなればなるほど、薄膜効果も高くなる。層の
堆積がコンフォーマルなものでないため、その薄くなった部分を補うためにさら
に材料を堆積させると、特徴の開口部付近の特徴内に大きなオーバハング(肩部
)が生じてしまう。このオーバハングにより、導電材料で特徴を充填しにくくな
り、バイア/コンタクトまたはライン抵抗が増大してしまうこともある。よりコ
ンフォーマルな層を堆積させるために、イオン堆積プラズマ技術を用いることが
必要となる。さらに、特徴表面上にバリヤ層の刻設された厚みを設けるために、
バリヤ層の堆積中、特徴表面をバイアスする必要がある。
【0025】 堆積中に、周辺表面やバリヤ層またはウェッティング層自体を汚染しないよう
にするために、バリヤ層またはウェッティング層は以下のように堆積される。す
なわち、従来のスパッタリング技術を用いるかもしくはイオン堆積プラズマ法の
いずれかを用いて第1の材料部分が基板表面に堆積されるが、このときイオン化
されたバリヤ層材料が引きつけられる方向の表面が、デバイス性能または寿命に
有害な量でスパッタリングされない程度に十分低くした基板バイアス電圧と組み
合せる。通常、基板バイアス電圧は、約−20Vよりも低いものでなければなら
ない。基板にバイアスをかけるための基板支持プラテンには電力をまったく印加
しない場合に優れた結果が得られる。最初の堆積は、約10mTよりも大きい真
空チャンバ圧で実行されることが好ましい。バリヤ層またはウェッティング層は
、この分野で一般に使用される温度で堆積することも可能である。
【0026】 バリヤ層の材料の第1の部分を堆積した後、特徴の表面上にさらにバリヤ層材
料を堆積する間、バイアス電圧は増大される。より高いバイアス電圧を印加する
ことによって、バリヤ層またはウェッティング層材料の第1の部分(より低い基
板バイアス電圧で堆積されたもの)をリスパッタリング(刻設)しながら、新し
く堆積する材料のより異方性の堆積を可能にすることができる。トレンチまたは
バイアの表面上に低いバイアス電圧で堆積された材料により、より高いバイアス
電圧でスパッタリング堆積している間、バリヤ層材料またはウェッティング層材
料の下にある基板表面を保護することができる。これにより、イオン化材料を衝
突させることによって基板に生じる基板内の破壊をなくし、デバイスを機能的に
破壊しないようにする。また、バリヤ層またはウェッティング層を適用する間、
隣接した表面からスパッタリングされた材料によりバリヤ層またはウェッティン
グ層に生じる汚染を減少させるか、またはそれを排除する。
【0027】 対象となる特徴の外形を最適にする条件を変化させながら、基板バイアスをか
けない堆積ステップと基板バイアスをかける堆積ステップとを複合して組み合わ
せるか、もしくはバイアス電力をしだいに上げていくことによって、バリヤ層が
刻設されてもよい。
【0028】 導電材料のシード層、特に、特徴に適用される銅のシード層は、バリヤ層やウ
ェッティング層を参照して上述したものと同じ刻設方法で達成されることもある
。銅の充填が電気めっき法、化学的気相堆積法(CVD)、PVD(例えば、出
願人の同時継続出願第08/855,059号に記載された銅の堆積技術など)
か、もしくはこれらの方法を組み合わせて達成される場合に、銅のシード層を刻
設することは特に重要なものとなる。すなわち、連続したコンフォーマルなシー
ド層を有する必要がある。銅のシード層を刻設しなければ、通常、コンタクトバ
イアの頂部にかなりのオーバハングが生じてしまう。このようなオーバハングに
より、バイアを完全に充填する前にバイアの開口部を塞いでしまい、コンタクト
の内部にボイドが残ってしまう。銅のシード層をスパッタリングしすぎてしまう
と、バイアの底部にシード層がない部分を作ってしまう。銅のシード層がなくな
ると、その領域に銅が成長しなくなるため、バイアの底部にボイドが形成されて
しまう。(銅の充填物が電気めっき法を用いて堆積される場合、銅のシード層が
まったくない領域には電気めっき用の電流がない。)本方法は、連続したコンフ
ォーマルなシード層を提供するものである。基板の温度は、銅のシード層を堆積
させ刻設する間、非常に重要なもので、これによりバリヤ層表面から銅がディウ
ェッティングしないようになる。銅のシード層を堆積および刻設する間の基板の
温度は、約500℃より低いものが好ましく、約200℃よりも低いものがさら
に好ましい。
【0029】
【発明の実施の形態】
薄膜のバリヤ層、ウェッティング層および導電材料のシード層を半導体の特徴
表面に適用するには、特徴の性能を最適なものにする場合、層を特徴の形状に合
わせる必要がある。
【0030】 物理的気相堆積(PVD)技術を用いてこのような薄膜を形状合わせする技術
は、PVDを用いると多くの望ましい材料特性が得られるため、近年特に関心を
集めてきた。IMPとしても知られるイオン堆積スパッタリング法を用いて、高
アスペクト比で小さな特徴サイズを有する特徴に材料層をPVD法で適用するこ
とが可能であった。しかしながら、イオン堆積スパッタリング法は、イオン堆積
スパッタリングを施した材料と接触する下地層がスパッタリングされて浸食され
るという逆効果の副作用も有していた。さらに、下地層から浸食された材料が特
徴表面付近を汚染してしまう可能性もあった。
【0031】 半導体の特徴表面上にイオン堆積スパッタリングを施し刻設された材料層を適
用する本発明の方法によって、イオン堆積層が堆積される基板がスパッタリング
されることがなくなる。この方法は、バイアの底面にバリヤ層を堆積する際に特
に有益であり、バリヤ層の堆積中に隣接した表面から汚染されると、コンタクト
の抵抗率が最終的に高くなってしまう可能性がある。この方法は、導電層として
用いられる材料が隣接する誘電体材料内に拡散されないようにするために、コン
フォーマルで比較的均一な堆積を行う必要がある場合、バリヤ層を堆積する際に
特に有益である。この方法は、ウェッティング層が汚染されるとウェッティング
機能を働かせるための層の性能に影響を及ぼす場合、ウェッティング層を堆積す
る際に特に有益である。この方法は、導電性のシード層が汚染されると引き続き
堆積される導電材料に適切な結晶構造が形成できなくなる場合、導電性のシード
層を堆積する際に特に有益である。さらに、特徴サイズが小さくアスペクト比が
高く、連続してコンフォーマルな導電材料のシード層を特徴表面上に形成する必
要がある場合、コンフォーマル層を刻設することが可能であることは、導電材料
が銅である場合など、特に利点となる。
【0032】 銅が隣接した材料内に拡散されないようにするために、銅を分離するために用
いるバリヤ層は連続的なものである必要があり、さらに特徴の形状にもよるが、
厚みが少なくとも約5Åの最小厚みを有する、コンフォーマルかつ実質的に均一
なものであることが好ましい。特徴サイズが小さくアスペクト比が大きい場合、
特徴の底面に向かって、トレンチ表面やコンタクトバイア表面などの特徴に適用
されるバリヤ層が薄くなっていく傾向にある。底面付近の特徴壁の上にバリヤ層
を所望する最小厚みに仕上げていくために、バリヤ層を堆積するためのイオン堆
積プラズマ技術を用いる必要がある。さらに、バリヤ層が適用される表面にバイ
アスをかけて、刻設された実質的に均一かつコンフォーマルな被覆形状を得るよ
うに、バリヤ層の材料を形成する必要がある。バリヤ層を堆積する間、周辺の表
面やバリヤ層材料自体が汚染されないようにすることが重要である。バリヤ層上
に銅層を堆積させる間の下地層の銅による汚染や銅層自体の汚染に関しても、同
じことが当てはまる。下地基板材料がスパッタリングされると、バリヤ層の特性
にダメージを与え破壊してしまうか、銅のシード層を害してしまう(例えば、銅
などの抵抗率が低い材料は不純物に極端に敏感である)。下地基板材料をスパッ
タリングしないようにするためには、堆積するイオン化された材料が引きつけら
れる方向にある表面が、デバイス性能や寿命に有害な量でスタッパリングされな
い程度に十分低い基板バイアス電圧を用いて、特徴の表面上に保護材料層を最初
にスタッパリングして堆積する必要がある。バリヤ層材料の少なくとも一部を堆
積した後、バイアス電圧を上げて以前に堆積されたバリヤ材料と新しく堆積する
バリヤ材料の両方を刻設しやすくする。銅のシード層を堆積する間、これと同じ
技術を用いて、下地材料層が銅汚染されないようにする。
【0033】 しかしながら、本発明の方法は、銅が導電層である応用に限ったものではない
。バリヤ層、導電性のシード層および充填層を堆積する間、下地層を浸食しない
ようにするには、例えば、Ti/TiNバリヤ層を組み合わせて使用するアルミ
ニウム導電層などの他のシステムに応用することも可能である。
【0034】 I.定義 詳細な記載の序文として、本願明細書および添付の請求の範囲に用いている単
数形「a」、「an」、「the」は、他に明確な表記がない限り、複数のもの
も含むことに留意されたい。したがって、例えば、「半導体(a semico
nductor)」という用語は、半導体の性質を示す特性をもつ既知のさまざ
まな種類の材料を含むものであり、「プラズマ(a plasma)」という表
記は、RFもしくはDCグロー放電により活性化されたある種のガスやガス反応
物を含み、さらに「銅」、「アルミニウム」「タングステン」という表記は、そ
れらの合金も含んでいる。さらに詳しく言えば、本願明細書では、「TiN」、
「TaN」、「MoN」、「WN」、「TiSiN」、「TaSiN」、「Mo
SiN」、「WSiN」などのような化合物の表記は、ここに挙げた要素の組み
合わせを含むすべての化合物を含むものであり、特定の化学量論組成に限定する
ものではない。
【0035】 本発明の記載において特に重要な特別な用語を以下に定義する。
【0036】 「アルミニウム」という用語は、半導体業界で通常用いられる種類のアルミニ
ウム合金を含む。このような合金は、例えば、アルニウム−銅合金やアルミニウ
ム−銅−シリコン合金を含む。通常このようなアルミニウム合金は、およそ0.
5%銅からなる。
【0037】 「異方性堆積」という用語は、材料の堆積が同じ速度ですべての方向に進まな
いことを指す。堆積が一方向にのみ生じれば、堆積プロセスはその方向に完全に
異方性であるとされる。
【0038】 「アスペクト比」という用語は、電気コンタクトが配置される特定の開口の幅
寸法に対する高さ寸法の比を指す。例えば、通常複数の層を介して筒状に延びる
バイア開口は高さと直径をもち、アスペクト比は筒状部分の高さを直径で除算し
たものである。トレンチのアスペクト比は、トレンチの高さをベース部でのトレ
ンチの最小幅で除算したものである。
【0039】 「銅」という用語は、銅およびその合金を指すもので、合金の銅含有量は少な
くとも80原子%である。合金は2つの要素成分よりも多くの成分からなること
もある。
【0040】 「特徴」という用語は、基板表面の外形を構成するコンタクト、バイア、トレ
ンチや他の構成物を指す。
【0041】 「イオン堆積プラズマスパッタリンスされた」という用語と「イオンメタルプ
ラズマ(IMP)」という用語は、スパッタ堆積法、好ましくはマグネトロンス
パッタ堆積法を指し、この堆積法では高密度の誘電結合RFプラズマがスパッタ
リング陰極と基板支持電極との間に作り出され、スパッタリングされた放出の少
なくとも一部が基板表面に到達するときにはイオン状態になっている。
【0042】 「イオン堆積プラズマスパッタリングされた銅」もしくは「IMPスパッタリ
ングされた銅」もしくは「IMP銅」という用語は、IMPスパッタ堆積プロセ
スを用いてスパッタリングされた銅堆積物を指す。
【0043】 「反応性イオン堆積プラズマスパッタリング」もしくは「反応性イオンメタル
プラズマ(IMP)」という用語は、イオン堆積プラズマスパッタリング法を指
し、この方法では反応ガスがスパッタリング中に供給されて、スパッタリングさ
れるイオン化材料と反応し、反応ガス要素を含むイオン堆積スパッタリングされ
た化合物を発生する。
【0044】 「シード層」という用語は、密着性を促進させて核生成を高め、次の堆積(通
常は同じ材料)中に所望の結晶指向性を得るために堆積される層を指す。以下に
記載する好適な実施形態を参照すると、IMPスパッタリング手段を用いて銅シ
ード層が堆積され、ここに記載した方法を用いて刻設すると、電気めっき法によ
り引き続き銅を適用する間、確実に適切な核生成を行う薄いシード層が得られる
【0045】 「SEM」という用語は、走査形電子顕微鏡を指す。
【0046】 「従来のスパッタリング」もしくは「標準的なスパッタリング」という用語は
、基板上に薄膜層を形成する方法を指し、この方法では、ターゲットがスパッタ
リングされ、ターゲットからスパッタリングされた材料はターゲットと基板との
間を通過して、基板上に薄膜層を形成し、ターゲットからスパッタリングされた
ターゲット材料のほとんどの部分をイオン化するための手段を設けずに、基板に
到達させる。従来のスパッタリングを提供するよう構成される1つの装置が、米
国特許第5,320,728号公報に記載されており、この開示は参照により本
願明細書に引用したものとする。このような従来のスパッタリング構造において
、イオン化されるターゲット材料のパーセンテージは、ターゲットからスパッタ
リングされたうちの10%よりも低く、さらに一般的には1%よりも低いもので
ある。
【0047】 II.本発明を実行するための装置 本発明の刻設方法は、アプライドマテリアルズ社(Applied Mate
rials,Inc.)(カリフォルニア州、サンタクララ)から入手可能なC
entura(登録商標)かもしくはEndura(登録商標)Integra
ted Processing Systemで実行される。Endura(登
録商標)のシステムは、米国特許第5,186,718号公報および第5,23
6,868号公報において示され記載されており、その開示は参照により本願明
細書に引用したものとする。
【0048】 本発明のバリヤ層構造を形成するために、図2に示した処理要素は、Endu
ra(登録商標)Integrated Processing System
内に含まれた低圧プロセスチャンバのうちの1つで動作される。図2を参照する
と、本発明のバリヤ層を形成するための低圧プロセスチャンバは、標準的なスパ
ッタリングマグネット210(スパッタリングプラズマを閉じ込めてスパッタリ
ング速度を上げる)と、直径が約14インチ(35.5cm)のタンタルスパッ
タリングターゲット陰極とともに、約0.5kWから約8kWの範囲にあるこの
陰極に印加するDC電力を用いる。基板は、8インチ(200mm)直径のシリ
コンウェハのもので、シリコンウェハの上にある二酸化シリコン誘電体の1.2
μmの厚みを有する層を有する。誘電体層は、底面直径が0.35μmであり、
高さが1.2μmのコンタクトバイアを含むようにパターニングされたものであ
る。基板ウェハは、ターゲット陰極212から約5インチ(13cm)離れた距
離に配置されたものである。約100kHzから約60MHzの範囲(好ましく
は約2MHzから約13.56MHz)にあるRF電力213を、約0.5kW
から約6kWの範囲(好ましくは約1.5kWから約4kWの範囲)にあるワッ
ト数で一回転または複数回転の金属コイルストリップに印加することにより、タ
ーゲット陰極212と基板218の間の領域に高密度の誘電結合RFプラズマが
発生したものである。ストリップコイルは、3回転から4回転よりも少ないもの
からなることが好ましい。
【0049】 RF電力を基板が設置されるプラテンに通常印加することによって、基板に0
Vから−300V DCの範囲の基板バイアス電圧が印加される。バイアス電圧
が印加されるとき、プラズマから基板にイオンを引き付けるDC基板バイアスが
作り出される。
【0050】 III.トレンチまたはバイア内でのバリヤ層の形成 実施例1: 図3は、シリコンウェハ基板310と、その上に堆積させた二酸化シリコン誘
電体層311のSEM側面図の略図を示すものである。二酸化シリコン層311
は、底面寸法320が0.35μmであり、高さ寸法322が1.2μmである
バイア313を含むようにパターニングされたものである。イオン堆積プラズマ
処理を用いてバイア313の表面314上にタンタルバリヤ層312が適用され
たものである。さらに詳しく言えば、ターゲットへのDC電力は2kWで、コイ
ル(2MHz)へのRF電力は1.5kWであり、基板へのバイアスは、全体を
堆積している間、約−70V(約200W)のものである。真空室の圧力は約4
0mTで、タンタルバリヤ層312の堆積時の基板温度は約75℃である。堆積
させたタンタルバリヤ層312は、バイア313の上面の厚み324が約900
Åであり、バイア313の内壁の厚みが約150Åであり、バイア313の上側
開口部326には余分な立ち上がりはない。バイアの壁の上側部分の層の厚みは
良好に制御されるが、基板バイアスを高くするとバイア313の底面316に破
壊部分328が生じてしまうため、タンタル層は非常に薄いか、もしくは破壊部
分328の位置には存在せず、さらに下地シリコン基板310内に入り込んでい
るか、もしくはそれらのいずれかである。タンタルを堆積させるようにリスパッ
タリングを行うと、バイア313の底面316の付近に立ち上がり329が生じ
てしまう。このようにして生じた構造は、通常コンタクト構造の漏洩や低抵抗率
などにつながるため、受け入れがたいものである。当業者であれば、含まれる特
徴にもよるが、破壊されなければまったく逆の影響をデバイスの機能に及ぼすこ
とは予想できることであろう。
【0051】 実施例2: 図4は、シリコンウェハ基板410と、その上に堆積させた二酸化シリコン誘
電体層411のSEM側面図の略図を示すものである。二酸化シリコン層411
は、底面寸法420が0.35μmであり、高さ寸法422が1.2μmである
バイア413を含むようにパターニングされたものである。イオン堆積プラズマ
処理を用いてバイア413の表面414上にタンタルバリヤ層412が適用され
たものである。さらに詳しく言えば、ターゲットへのDC電力は2kWで、コイ
ル(2MHz)へのRF電力は1.5kWである。この場合、基板へのバイアス
はない。真空室の圧力は約40mTで、タンタルバリヤ層412の堆積時の基板
温度は約75℃である。タンタルは、約60秒間堆積される。基板バイアスをか
けないことにより、バイア413の底面416に大量のタンタルが堆積される。
タンタル層412は、基板表面上に約1,200Åの厚み部分424をもち、開
口部426の付近ではバイア413の壁には約400Åの厚みがあり、さらに底
面416の方向に向かうほど薄くなっている。タンタル層412の厚みは、(存
在すれば)バイア413の底面416の付近の角415で最小になっている。バ
イア413の底面416でのタンタル層412の平均的な厚みは、約300Åで
ある。角415のバリヤ層412が薄いため、引き続き適用する銅の充填物(図
示せず)を二酸化シリコン誘電体層411とシリコン基板410内に堆積させて
しまう原因となる。
【0052】 アルミニウムの充填物と接触する窒化チタンバリヤ層を薄くすることは、アル
ミニウムが誘電体として半導体産業で通常使用される種類の二酸化シリコン絶縁
層との境界面を形成するため、銅の充填物と接触するタンタルバリヤ層が薄くな
ることほど特に問題となることではない。しかしながら、例えばチタンウェッテ
ィング層が、コンタクトバイア内のアルミニウム充填物の下地であるウェッティ
ング層として用いられる。チタンは、周辺の表面からスパッタリングされる材料
によって堆積中に汚染されると、アルミニウムの充填中、ウェッティング層とし
て作用する能力が減少することになる。
【0053】 導電材料が銅の場合、タンタルバリヤ層かもしくは窒化タンタルバリヤ層が適
用される下地表面をスパッタリングすることによる汚染問題が生じる可能性があ
るだけでなく、さらに、バリヤ層が非常に薄くなれば、二酸化シリコン層内に銅
が拡散されて、最終的にデバイスの故障へとつながることになる。銅が導電性の
充填材料として用いられる場合、孔の表面全体にキャリヤ/バリヤ層の厚みが確
実により一定なものとなるようにする手段を見付けることが重要である。これに
より、コンタクトバイアの頂部にオーバハングを形成することなく、バイアの開
口を塞いだり銅の充填物の上にボイドを形成することもなくなる。さらに、連続
したコンフォーマルなバリヤ層により、バリヤ層によって銅から分離された隣接
層内に銅が拡散されないようになる。再度述べるが、連続したコンフォーマルな
バリヤ層またはウェッティング層の形成方法を決める際に考慮すべき重要な点は
、堆積プロセスの結果として生じる隣接表面の汚染量である。
【0054】 実施例3: 図5は、シリコンウェハ基板510と、その上に堆積させた二酸化シリコン誘
電体層511のSEM側面図の略図を示すものである。二酸化シリコン層511
は、底面寸法520が0.35μmであり、高さ寸法22が1.2μmであるバ
イア513を含むようにパターニングされたものである。イオン堆積プラズマ処
理を用いてバイア513の表面514上にタンタルバリヤ層512が適用された
ものである。さらに詳しく言えば、ターゲットへのDC電力は2kWで、コイル
(2MHz)へのRF電力は1.5kWで、真空室の圧力は約40mTで、さら
に基板温度は約25℃のものを用いて最初タンタルが堆積される。タンタルバリ
ヤ層512の材料は、基板のバイアス電力をかけずに約15秒間適用される。
【0055】 基板バイアスを、−60V(約250W)までかけ、イオン堆積プラズマ法を
用いて約45秒間タンタルがさらに適用される。真空室の圧力は約40mTであ
り、基板温度は約25℃である。この2度目の堆積期間中、第1の堆積期間から
のタンタルがリスパッタリングされ、バイア513の上側開口部526の領域か
ら余分なタンタルが除去されて、バイア513の底部516の付近の領域に再度
形作られる。最終的なバイア構造は、図5に示すようなものであり、タンタルバ
リヤ層は、バイア513の上側基板表面上の厚み部分524は約1,000Åの
比較的均一な厚みであり、開口部526にはオーバハングがなく、さらにバイア
513の内壁には約150Åの均一な厚みを有する。バリヤ層51を堆積する間
、下地のシリコン基板510にも、二酸化シリコン層511にもまったくダメー
ジを及ぼすことがない。
【0056】 このようなイオン堆積プラズマスパッタリング技術は、対象となる特徴の外形
に最適となるように条件を変えながら、バイアスなしの堆積ステップとバイアス
をかける堆積ステップを複合させて用いられるよう設計される。基板バイアスは
、所望の刻設を得るように立ち上げられたり立ち下げられたりすることもある。
この技術を、例えば、Ta、TaN、TaSiN、Mo、MoN、MoSiN、
TiN、TiSiN、W、WN、WSiNなどのバリヤ層や、例えば、Ta、M
o、Tiなどのウェッティング層を含むあらゆるイオン堆積プラズマスパッタリ
ングされた層に応用することができる。また、この技術は、例えば、Cu、Ni
、Ag、Au、Al、W、Ptのような金属製の導電材料のシード層を適用する
際にも用いることができる。特に、本願出願人により、この技術を用いて銅のシ
ード層を堆積させ、銅の堆積がタンタルバリヤ層を堆積している間に示したもの
と同じ厚みの側面パターンになることが分かっている。
【0057】 本発明の方法は、上述したように、バイアの開口部の上側の縁部(肩部)に立
ち上がりがあることで完全に充填する前に開口部が塞がれてしまう可能性がある
ため、コンタクトバイア内の銅の堆積物を刻設するために用いる場合特に有益で
ある。さらに、バイアの底面であまりスパッタリングを行いすぎると、底面から
すべての銅シード層をリスパッタリングして、タンタルバリヤ層を剥き出しにし
てしまう可能性がある。引き続き銅の充填物を適用しても、シード層がないとこ
ろには充填物は成長せず、コンタクトの底面にボイドができてしまう。例えば、
銅の充填物が電気めっきされる場合、電気めっきされた銅は、この領域に電気め
っきするための電流がないため、シード層がないところには成長しない。本発明
の刻設方法は、このような問題を解決し、さらに銅のシード層を堆積している間
、隣接する表面が汚染されないようにするものである。
【0058】 上述した好適な実施形態は、本発明の範囲を制限するものではなく、本発明の
開示より当業者がこれらの実施形態を本発明の請求の範囲の主題に対応するよう
に発展させることができるものである。
【図面の簡単な説明】
【図1】 金属製の導電層で覆われた多層構造のバリヤ層を含むコンタクトバイアの断面
を示す概略図である。本発明の譲受人に譲渡されたXu等の米国特許出願第08
/511,825号からの従来技術である。
【図2】 基板に堆積させてイオン化した材料を基板に引き付ける前に、スパッタリング
したターゲット原子のイオン化を行うために用いることができる種類の装置を概
略的に示したものである。Xu等の米国特許出願第08/511,825号から
の従来技術である。
【図3】 基板バイアスを用いてイオン化した原子を引き付けるコンタクトバイアの断面
の概略図である。衝突イオンがコンタクトのベース部を浸食している。
【図4】 図3に示した種類の概略図であるが、基板バイアスを用いずにイオン化したタ
ーゲット原子を引き付けるものである。バイアの開口部付近で材料の厚めの立ち
上がりが生じている。バイアの底面に比較的厚めのターゲット材料層が堆積され
ているが、底面付近のバイアの壁にある堆積された層の厚みは非常に薄いもので
ある。
【図5】 図3および図4に示した種類の概略図であるが、本発明の技術を用いることに
よって、コンタクトのベース部が浸食かつ汚染されず、またバイアの壁上に堆積
されたターゲット材料の刻設された平坦な層が得られるものである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヤオ, ゴングダ アメリカ合衆国, カリフォルニア州, フリーモント, ワインディング レーン 44875 (72)発明者 ディン, ペイジュン アメリカ合衆国, カリフォルニア州, サン ノゼ, ダヴリュー. リヴァーサ イド ウェイ 1020 (72)発明者 チェン, フセン アメリカ合衆国, カリフォルニア州, クパティノ, ポータル プラザ 19910 (72)発明者 チン, バリー アメリカ合衆国, カリフォルニア州, サラトガ, カンバーランド ドライヴ 13174 (72)発明者 コハラ, ジェネ アメリカ合衆国, カリフォルニア州, フリーモント, ハスティングス ストリ ート 38680 ナンバーエー−203 (72)発明者 キュー, ツェン アメリカ合衆国, カリフォルニア州, フォスター シティ, ハドソン ベイ ストリート 279 (72)発明者 チャン, ホン アメリカ合衆国, カリフォルニア州, フリーモント, パウニー ドライヴ 45020 Fターム(参考) 4M104 AA01 BB04 BB13 BB14 BB16 BB17 BB18 BB25 BB26 BB27 BB28 BB30 BB31 BB32 BB33 DD16 DD37 DD38 DD39 DD43 DD52 FF13 FF17 FF18 FF22 HH20 5F033 HH07 HH08 HH11 HH13 HH14 HH18 HH19 HH20 HH21 HH27 HH28 HH29 HH30 HH32 HH33 HH34 JJ01 JJ07 JJ08 JJ11 JJ13 JJ14 JJ18 JJ19 JJ20 JJ21 JJ27 JJ28 JJ29 JJ30 JJ31 JJ32 JJ33 JJ34 KK01 MM05 MM13 NN06 NN07 PP06 PP15 PP17 PP27 QQ37 RR04 XX34 【要約の続き】 量でイオンに衝突された表面がスパッタリングされない 程度に十分低い基板バイアス電圧(印加されていない基 板電圧を含む)をかける。引き続き、バリヤ層材料の第 1の部分をリスパッタリング(刻設)させる、より高い 基板バイアス電圧で、イオン堆積スパッタリングを用い てバリヤ材料の第2の部分を適用しながら、新しい堆積 材料のより異方性な堆積が可能となる。バリヤ層を参照 して上述したものと同じ刻設技術を用いて、特徴に適用 される導電材料、特に銅のシード層が形成される。

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 堆積層のイオンが衝突することによって浸食および汚染され
    ないように、刻設された層が適用された表面が保護されるイオン堆積スパッタリ
    ング法を用いて刻設された材料層を半導体の特徴表面に適用する方法であって、 a)従来のスパッタリング法またはイオン堆積スパッタリング法を用いて刻設
    された層の第1の部分を、前記刻設された層が適用される表面が前記半導体の特
    徴の性能または寿命に有害な量で浸食または汚染されない程度に基板バイアスを
    十分低くして適用するステップと、 b)イオン堆積スパッタリングを用いて前記刻設された層の次の部分を、さら
    なる層材料を堆積させながら、前記第1の部分からの形状を刻設することができ
    る程度に基板バイアスを十分高くして適用するステップと を含む半導体の特徴表面に刻設された材料層を適用する方法。
  2. 【請求項2】 前記刻設された層の厚みは、実質的に均一である請求項1に
    記載の方法。
  3. 【請求項3】 前記刻設された層は、バリヤ層かまたはウェッティング層で
    ある請求項1または2に記載の方法。
  4. 【請求項4】 前記半導体の特徴表面のあらゆる場所での前記バリヤ層かま
    たはセッティング層の最小厚みは、約5Åである請求項3に記載の方法。
  5. 【請求項5】 前記半導体の特徴のアスペクト比は少なくとも1であり、約
    5μmよりも小さいサイズである請求項4に記載の方法。
  6. 【請求項6】 前記半導体の特徴のアスペクト比は少なくとも3であり、約
    0.5μmよりも小さいサイズである請求項5に記載の方法。
  7. 【請求項7】 前記バリヤ層は、Ta、TaN、TaSiN、Mo、MoN
    、MoSiN、TiN、TiSiN、W、WN、WSiNおよびそれらの組み合
    わせからなる群から選択された材料からなる請求項3に記載の方法。
  8. 【請求項8】 前記ウェッティング層は、Ta、Mo、Tiおよびそれらの
    組み合わせからなる群から選択された材料からなる請求項3に記載の方法。
  9. 【請求項9】 前記バリヤ層は、Ti、TiNおよびそれらの組み合わせか
    らなる群から選択される請求項3に記載の方法。
  10. 【請求項10】 前記刻設された層は、導電材料のシード層である請求項1
    または2の方法。
  11. 【請求項11】 前記導電材料は、Cu、Al、Ag、Ni、Au、W、P
    tからなる群から選択される請求項10に記載の方法。
  12. 【請求項12】 前記導電材料は、銅である請求項11に記載の方法。
  13. 【請求項13】 前記半導体の特徴表面のあらゆる場所での前記シード層の
    最小厚みは、約5Åである請求項7に記載の方法。
  14. 【請求項14】 前記半導体の特徴のアスペクト比は少なくとも1であり、
    約5μmよりも小さいサイズである請求項13に記載の方法。
  15. 【請求項15】 前記半導体の特徴のアスペクト比は少なくとも3であり、
    約0.5μmよりも小さいサイズである請求項14に記載の方法。
  16. 【請求項16】 前記刻設された層の前記第1の部分を堆積する間にかけら
    れた前記基板バイアスは、約−20Vよりも小さい請求項1または2に記載の方
    法。
  17. 【請求項17】 前記刻設された層の前記第1の部分を堆積する間、基板バ
    イアスをかけない請求項1または2に記載の方法。
  18. 【請求項18】 前記刻設された層の前記次の部分を堆積する間にかける前
    記基板バイアスは、約−20Vよりも大きい請求項1または2に記載の方法。
  19. 【請求項19】 前記刻設された層の前記次の部分を堆積する間にかける前
    記基板バイアスは、約−20Vよりも大きい請求項17に記載の方法。
  20. 【請求項20】 前記刻設された層の前記第1の部分は、少なくとも約1m
    Tのプロセスチャンバ圧でIMP技術を用いて堆積される請求項18に記載の方
    法。
  21. 【請求項21】 前記刻設された層の前記第1の部分は、少なくとも約1m
    Tのプロセスチャンバ圧でIMP技術を用いて堆積される請求項19に記載の方
    法。
  22. 【請求項22】 前記刻設された層の前記第1の部分は、少なくとも約10
    mTのプロセスチャンバ圧でIMP技術を用いて堆積される請求項20に記載の
    方法。
  23. 【請求項23】 前記刻設された層の前記第1の部分は、少なくとも約10
    mTのプロセスチャンバ圧でIMP技術を用いて堆積される請求項21に記載の
    方法。
  24. 【請求項24】 前記刻設された層の前記第1の部分は、10mT以下のプ
    ロセスチャンバ圧で標準的なスパッタリング技術を用いて堆積される請求項18
    に記載の方法。
  25. 【請求項25】 前記刻設された層の前記第2の部分は、少なくとも約1m
    Tのプロセスチャンバ圧でIMP技術を用いて堆積される請求項18に記載の方
    法。
  26. 【請求項26】 前記刻設された層の前記第2の部分は、少なくとも約1m
    Tのプロセスチャンバ圧でIMP技術を用いて堆積される請求項19に記載の方
    法。
  27. 【請求項27】 前記刻設された層の前記第2の部分は、少なくとも約10
    mTのプロセスチャンバ圧でIMP技術を用いて堆積される請求項20に記載の
    方法。
  28. 【請求項28】 前記刻設された層の前記第1の部分は、少なくとも約10
    mTのプロセスチャンバ圧でIMP技術を用いて堆積される請求項21に記載の
    方法。
  29. 【請求項29】 前記銅のシード層を適用する間の前記基板の温度は、約5
    00℃よりも低い請求項12に記載の方法。
  30. 【請求項30】 前記基板の温度は約200℃よりも低い請求項29に記載
    の方法。
JP2000522622A 1997-11-26 1998-10-26 ダメージフリー被覆刻設堆積法 Expired - Lifetime JP4947834B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97879297A 1997-11-26 1997-11-26
US08/978,792 1997-11-26
PCT/US1998/022796 WO1999027579A1 (en) 1997-11-26 1998-10-26 Damage-free sculptured coating deposition

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2008183140A Division JP2008308765A (ja) 1997-11-26 2008-07-14 ダメージフリー被覆刻設堆積法
JP2009218041A Division JP5534759B2 (ja) 1997-11-26 2009-09-18 ダメージフリー被覆刻設堆積法

Publications (3)

Publication Number Publication Date
JP2001524753A true JP2001524753A (ja) 2001-12-04
JP2001524753A5 JP2001524753A5 (ja) 2009-05-21
JP4947834B2 JP4947834B2 (ja) 2012-06-06

Family

ID=25526388

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2000522622A Expired - Lifetime JP4947834B2 (ja) 1997-11-26 1998-10-26 ダメージフリー被覆刻設堆積法
JP2008183140A Pending JP2008308765A (ja) 1997-11-26 2008-07-14 ダメージフリー被覆刻設堆積法
JP2009218041A Expired - Lifetime JP5534759B2 (ja) 1997-11-26 2009-09-18 ダメージフリー被覆刻設堆積法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2008183140A Pending JP2008308765A (ja) 1997-11-26 2008-07-14 ダメージフリー被覆刻設堆積法
JP2009218041A Expired - Lifetime JP5534759B2 (ja) 1997-11-26 2009-09-18 ダメージフリー被覆刻設堆積法

Country Status (5)

Country Link
US (11) US6758947B2 (ja)
EP (1) EP1034566A1 (ja)
JP (3) JP4947834B2 (ja)
KR (1) KR20010032498A (ja)
WO (1) WO1999027579A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003511858A (ja) * 1999-10-02 2003-03-25 コーエン,ユーリ 配線用の種層、並びに、それらの製造方法および製造装置
WO2007088792A1 (ja) * 2006-01-31 2007-08-09 Tokyo Electron Limited シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP2007531271A (ja) * 2004-03-26 2007-11-01 東京エレクトロン株式会社 イオン化物理蒸着方法
USRE41653E1 (en) 2004-03-30 2010-09-07 Magnachip Semiconductor, Ltd. Method of forming metal wiring of semiconductor device
JP2010283360A (ja) * 2001-11-14 2010-12-16 Applied Materials Inc 配線を形成する方法及びプラズマスパッタリアクタ
KR101028972B1 (ko) 2002-09-13 2011-04-12 가부시키가이샤 알박 바이어스 스퍼터링 막 형성 방법 및 바이어스 스퍼터링 막형성 장치

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1102329A3 (en) 1999-11-17 2003-09-24 Sanyo Electric Co., Ltd. Dielectric element
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
JP2002151657A (ja) 2000-11-08 2002-05-24 Sanyo Electric Co Ltd 誘電体素子およびその製造方法
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6503824B1 (en) * 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US20030158079A1 (en) * 2001-10-19 2003-08-21 The Procter & Gamble Company Controlled benefit agent delivery system
US6709971B2 (en) * 2002-01-30 2004-03-23 Intel Corporation Interconnect structures in a semiconductor device and processes of formation
US6948231B2 (en) 2002-05-21 2005-09-27 International Business Machines Corporation Method of depositing material into high aspect ratio features
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
KR100594276B1 (ko) * 2004-05-25 2006-06-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
KR100639458B1 (ko) * 2004-12-30 2006-10-26 동부일렉트로닉스 주식회사 TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
EP1880035B1 (en) * 2005-05-05 2021-01-20 Höganäs Germany GmbH Method for coating a substrate surface and coated product
AU2006243448B2 (en) * 2005-05-05 2011-09-01 H.C. Starck Inc. Coating process for manufacture or reprocessing of sputter targets and X-ray anodes
US20070012557A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc Low voltage sputtering for large area substrates
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7902063B2 (en) * 2005-10-11 2011-03-08 Intermolecular, Inc. Methods for discretized formation of masking and capping layers on a substrate
US7994047B1 (en) * 2005-11-22 2011-08-09 Spansion Llc Integrated circuit contact system
WO2007095194A2 (en) * 2006-02-10 2007-08-23 Intermolecular, Inc. Method and apparatus for combinatorially varying materials, unit process and process sequence
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
JP2007311771A (ja) 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US20080014732A1 (en) * 2006-07-07 2008-01-17 Yanping Li Application of PVD W/WN bilayer barrier to aluminum bondpad in wire bonding
CN100459087C (zh) * 2006-07-21 2009-02-04 中芯国际集成电路制造(上海)有限公司 确定半导体特征的方法和用于制造集成电路的方法
US20080123176A1 (en) * 2006-08-29 2008-05-29 Texas Instruments, Incorporated Process for creating ohmic contact
US20080078268A1 (en) 2006-10-03 2008-04-03 H.C. Starck Inc. Process for preparing metal powders having low oxygen content, powders so-produced and uses thereof
DK2104753T3 (da) * 2006-11-07 2014-09-29 Starck H C Gmbh Fremgangsmåde til belægning af et substrat og et belagt produkt
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080145688A1 (en) 2006-12-13 2008-06-19 H.C. Starck Inc. Method of joining tantalum clade steel structures
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US8197894B2 (en) 2007-05-04 2012-06-12 H.C. Starck Gmbh Methods of forming sputtering targets
US20080280446A1 (en) * 2007-05-08 2008-11-13 Qimonda Ag Method of producing a microscopic hole in a layer and integrated device with a microscopic hole in a layer
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
DE102008030847B4 (de) * 2008-06-30 2010-07-29 Advanced Micro Devices, Inc., Sunnyvale Reduzierung der Kontamination von Halbleitersubstraten während der Aufbringung der Metallisierung durch Ausführen eines Abscheide/Ätzzyklus während der Barrierenabscheidung
JP2010034468A (ja) * 2008-07-31 2010-02-12 Renesas Technology Corp 半導体装置及びその製造方法
US8246903B2 (en) 2008-09-09 2012-08-21 H.C. Starck Inc. Dynamic dehydriding of refractory metal powders
KR20100032644A (ko) * 2008-09-18 2010-03-26 삼성전자주식회사 선택적 플라즈마 처리를 이용한 반도체 소자의 금속배선 형성방법
US8043655B2 (en) * 2008-10-06 2011-10-25 H.C. Starck, Inc. Low-energy method of manufacturing bulk metallic structures with submicron grain sizes
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8310328B2 (en) * 2010-10-07 2012-11-13 Touch Micro-System Technology Corp. Planar coil and method of making the same
US8734896B2 (en) 2011-09-29 2014-05-27 H.C. Starck Inc. Methods of manufacturing high-strength large-area sputtering targets
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
JP5885521B2 (ja) 2012-02-01 2016-03-15 三菱電機株式会社 炭化珪素半導体装置の製造方法
KR101427140B1 (ko) * 2013-03-29 2014-08-07 한국생산기술연구원 고종횡비 비아에 씨드 레이어를 형성시키는 방법
US9637819B2 (en) * 2013-11-18 2017-05-02 Applied Materials, Inc. Methods for preferential growth of cobalt within substrate features
KR102152706B1 (ko) * 2014-03-06 2020-09-07 삼성전자주식회사 막 증착 시스템 및 이를 이용한 도전 패턴 형성 방법
US10094023B2 (en) 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9184060B1 (en) * 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US10763179B2 (en) * 2015-02-27 2020-09-01 Semilab Semiconductor Physics Laboratory Co., Ltd. Non-contact method to monitor and quantify effective work function of metals
CN105899003B (zh) 2015-11-06 2019-11-26 武汉光谷创元电子有限公司 单层电路板、多层电路板以及它们的制造方法
US10191864B1 (en) * 2015-11-12 2019-01-29 Amazon Technologies, Inc. Standardized interface for storage using an input/output (I/O) adapter device
US9836421B1 (en) 2015-11-12 2017-12-05 Amazon Technologies, Inc. Standardized interface for network using an input/output (I/O) adapter device
US9691658B1 (en) * 2016-05-19 2017-06-27 Globalfoundries Inc. Contact fill in an integrated circuit
US9984923B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Barrier layers in trenches and vias
KR102545165B1 (ko) 2016-09-23 2023-06-19 삼성전자주식회사 반도체 소자의 제조 방법
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
US10867905B2 (en) * 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10600684B2 (en) 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
US10381307B1 (en) * 2018-05-14 2019-08-13 Nanya Technology Corporation Method of forming barrier layer over via, and via structure formed thereof
US20200203144A1 (en) * 2018-12-21 2020-06-25 Applied Materials, Inc. Methods of cleaning an oxide layer in a film stack to eliminate arcing during downstream processing
US11127899B2 (en) * 2019-04-11 2021-09-21 Micron Technology, Inc. Conductive interconnects suitable for utilization in integrated assemblies, and methods of forming conductive interconnects
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3437864A (en) * 1966-08-29 1969-04-08 Boeing Co Method of producing high temperature,low pressure plasma
FR1534917A (fr) * 1967-06-22 1968-08-02 Alcatel Sa Perfectionnements à l'obtention de dépôts par pulvérisation cathodique
US3649502A (en) * 1969-08-14 1972-03-14 Precision Instr Co Apparatus for supported discharge sputter-coating of a substrate
FR2082217A5 (en) 1970-03-06 1971-12-10 Cit Alcatel Substrate coating by cathodic sputtering andevaporation
US3619403A (en) * 1970-06-30 1971-11-09 Lfe Corp Gas reaction apparatus
US3699034A (en) * 1971-03-15 1972-10-17 Sperry Rand Corp Method for sputter depositing dielectric materials
GB1399603A (en) 1971-09-07 1975-07-02 Boswell R W Christiansen P J N Ion sources
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
US3873884A (en) * 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4362632A (en) 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
US4323632A (en) * 1978-10-17 1982-04-06 Gould Inc. Metal composites and laminates formed therefrom
FR2475798A1 (fr) 1980-02-13 1981-08-14 Commissariat Energie Atomique Procede et dispositif de production d'ions lourds fortement charges et une application mettant en oeuvre le procede
CA1138795A (en) * 1980-02-19 1983-01-04 Goodrich (B.F.) Company (The) Escape slide and life raft
JPS6318071Y2 (ja) 1980-08-11 1988-05-20
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4351712A (en) * 1980-12-10 1982-09-28 International Business Machines Corporation Low energy ion beam oxidation process
FR2498813A1 (fr) * 1981-01-27 1982-07-30 Instruments Sa Installation de traitement de materiaux pour la production de semi-conducteurs
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4379832A (en) * 1981-08-31 1983-04-12 International Business Machines Corporation Method for making low barrier Schottky devices of the electron beam evaporation of reactive metals
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS5863139A (ja) 1981-10-12 1983-04-14 Nippon Telegr & Teleph Corp <Ntt> 半導体結晶上への絶縁膜の形成法
JPS5863139U (ja) 1981-10-23 1983-04-27 乙黒 洋一 車輛側面確認用反射鏡
US4407712A (en) 1982-06-01 1983-10-04 The United States Of America As Represented By The Secretary Of The Army Hollow cathode discharge source of metal vapor
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
JPS59186955A (ja) 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS59186955U (ja) 1983-05-30 1984-12-12 日本精機株式会社 電池の固定装置
US4494961A (en) * 1983-06-14 1985-01-22 Mobil Oil Corporation Increasing the cetane number of diesel fuel by partial oxidation _
FR2555362B1 (fr) 1983-11-17 1990-04-20 France Etat Procede et dispositif de traitement d'un materiau semi-conducteur, par plasma
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4607593A (en) * 1983-12-23 1986-08-26 U.S. Philips Corporation Apparatus for processing articles in a controlled environment
US4544142A (en) 1984-03-16 1985-10-01 Kawasaki Steel Corporation Rotary hearth finish annealing furnace
JPS60221572A (ja) 1984-03-24 1985-11-06 Anelva Corp 連続放電反応処理装置
US4514437A (en) 1984-05-02 1985-04-30 Energy Conversion Devices, Inc. Apparatus for plasma assisted evaporation of thin films and corresponding method of deposition
JPH069199B2 (ja) * 1984-07-18 1994-02-02 株式会社日立製作所 配線構造体およびその製造方法
US4657778A (en) 1984-08-01 1987-04-14 Moran Peter L Multilayer systems and their method of production
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61107720A (ja) * 1984-10-31 1986-05-26 Hitachi Ltd 分子線エピタキシ装置
JPH0740468B2 (ja) * 1984-12-11 1995-05-01 株式会社日立製作所 高周波プラズマ発生装置
US4585517A (en) * 1985-01-31 1986-04-29 Motorola, Inc. Reactive sputter cleaning of semiconductor wafer
JPS61170568U (ja) 1985-04-15 1986-10-22
JPH0615720B2 (ja) 1985-04-24 1994-03-02 株式会社日立製作所 真空処理装置
US4676866A (en) * 1985-05-01 1987-06-30 Texas Instruments Incorporated Process to increase tin thickness
CA1247757A (en) * 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS62152183A (ja) 1985-12-25 1987-07-07 Kyocera Corp 太陽電池モジユ−ル
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
JPS62116769U (ja) 1986-01-16 1987-07-24
JPH0710499Y2 (ja) 1986-02-12 1995-03-08 東光株式会社 可変容量ダイオ−ド装置
JPS62152183U (ja) 1986-03-19 1987-09-26
US4871433A (en) 1986-04-04 1989-10-03 Materials Research Corporation Method and apparatus for improving the uniformity ion bombardment in a magnetron sputtering system
EP0244951B1 (en) 1986-04-04 1994-02-02 Materials Research Corporation Method and apparatus for handling and processing wafer like materials
JPS62164875U (ja) 1986-04-07 1987-10-20
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4688365A (en) * 1986-05-09 1987-08-25 Mcguire Daniel S Modular building block
WO1987007309A1 (en) 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
JPS6318071A (ja) 1986-07-11 1988-01-25 Toshiba Corp 枚葉式バイアススパツタ装置
US4717461A (en) * 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
DE3632340C2 (de) * 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
US4733631B1 (en) * 1986-09-30 1993-03-09 Apparatus for coating substrate devices
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4855798A (en) * 1986-12-19 1989-08-08 Texas Instruments Incorporated Semiconductor and process of fabrication thereof
JPS63252439A (ja) 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
DE3716498C2 (de) * 1987-05-16 1994-08-04 Leybold Ag Vorrichtung zum Ein- und Ausschleusen von Werkstücken in eine Beschichtungskammer
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
DE3735284A1 (de) * 1987-10-17 1989-04-27 Leybold Ag Vorrichtung nach dem karussell-prinzip zum beschichten von substraten
US4911814A (en) * 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
JPH0666287B2 (ja) 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US4944961A (en) 1988-08-05 1990-07-31 Rensselaer Polytechnic Institute Deposition of metals on stepped surfaces
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0170387B1 (ko) 1989-10-03 1999-03-30 제임스 조셉 드롱 고주파 반도체 웨이퍼 가공장치 및 방법
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
US5246885A (en) 1989-12-13 1993-09-21 International Business Machines Corporation Deposition method for high aspect ratio features using photoablation
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
WO1991017284A1 (en) 1990-04-30 1991-11-14 International Business Machines Corporation Apparatus for low temperature cvd of metals
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
KR960001601B1 (ko) * 1992-01-23 1996-02-02 삼성전자주식회사 반도체 장치의 접촉구 매몰방법 및 구조
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JP2519364B2 (ja) 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US5175125A (en) * 1991-04-03 1992-12-29 Chartered Semiconductor Manufacturing Ltd. Pte Method for making electrical contacts
JPH0597063U (ja) * 1991-04-15 1993-12-27 モレックス インコーポレーテッド 電気コネクタ
US5399526A (en) * 1991-06-28 1995-03-21 Sony Corporation Method of manufacturing semiconductor device by forming barrier metal layer between substrate and wiring layer
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5231751A (en) * 1991-10-29 1993-08-03 International Business Machines Corporation Process for thin film interconnect
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5281584A (en) * 1992-02-28 1994-01-25 The Dow Chemical Company Effect of particle-size distribution of cellulose ethers on palatability of compositions
US5302266A (en) * 1992-03-20 1994-04-12 International Business Machines Corporation Method and apparatus for filing high aspect patterns with metal
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
US5406123A (en) * 1992-06-11 1995-04-11 Engineering Research Ctr., North Carolina State Univ. Single crystal titanium nitride epitaxial on silicon
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH06192830A (ja) * 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
US5338423A (en) * 1992-11-06 1994-08-16 Zilog, Inc. Method of eliminating metal voiding in a titanium nitride/aluminum processing
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5325837A (en) * 1992-11-19 1994-07-05 Robert Bosch Gmbh Fuel injection apparatus for internal combustion engines
JPH06158299A (ja) * 1992-11-19 1994-06-07 Hitachi Ltd 薄膜形成法及び装置並びに集積回路装置
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5358616A (en) 1993-02-17 1994-10-25 Ward Michael G Filling of vias and contacts employing an aluminum-germanium alloy
TW239900B (ja) 1993-06-17 1995-02-01 Materials Research Corp
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5478455A (en) 1993-09-17 1995-12-26 Varian Associates, Inc. Method for controlling a collimated sputtering source
JP3382031B2 (ja) * 1993-11-16 2003-03-04 株式会社東芝 半導体装置の製造方法
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
US6090701A (en) * 1994-06-21 2000-07-18 Kabushiki Kaisha Toshiba Method for production of semiconductor device
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0735577A3 (en) 1994-12-14 1997-04-02 Applied Materials Inc Deposit process and apparatus
US5614437A (en) 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JPH09120991A (ja) * 1995-08-07 1997-05-06 Applied Materials Inc 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
JPH09171976A (ja) * 1995-10-27 1997-06-30 Internatl Business Mach Corp <Ibm> 高アスペクト比フィーチャの側面と底部に膜厚制御可能な被膜を付着する方法および装置
US5658438A (en) 1995-12-19 1997-08-19 Micron Technology, Inc. Sputter deposition method for improved bottom and side wall coverage of high aspect ratio features
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via
US5725739A (en) * 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
US5928426A (en) 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US5783282A (en) 1996-10-07 1998-07-21 Micron Technology, Inc. Resputtering to achieve better step coverage of contact holes
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6130156A (en) 1998-04-01 2000-10-10 Texas Instruments Incorporated Variable doping of metal plugs for enhanced reliability
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6355562B1 (en) * 1998-07-01 2002-03-12 Advanced Technology Materials, Inc. Adhesion promotion method for CVD copper metallization in IC applications
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6306732B1 (en) 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US6333560B1 (en) 1999-01-14 2001-12-25 International Business Machines Corporation Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies
JP3562628B2 (ja) 1999-06-24 2004-09-08 日本電気株式会社 拡散バリア膜、多層配線構造、およびそれらの製造方法
US6573173B2 (en) * 1999-07-13 2003-06-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6399479B1 (en) 1999-08-30 2002-06-04 Applied Materials, Inc. Processes to improve electroplating fill
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6143641A (en) * 2000-01-26 2000-11-07 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6534394B1 (en) 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
TW587306B (en) 2001-03-02 2004-05-11 Macronix Int Co Ltd Manufacturing method of low-resistance dual damascene via
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6590288B1 (en) 2001-06-04 2003-07-08 Advanced Micro Devices, Inc. Selective deposition in integrated circuit interconnects
US6576543B2 (en) 2001-08-20 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively depositing diffusion barriers
US20030203615A1 (en) 2002-04-25 2003-10-30 Denning Dean J. Method for depositing barrier layers in an opening
DE102005046976B4 (de) * 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003511858A (ja) * 1999-10-02 2003-03-25 コーエン,ユーリ 配線用の種層、並びに、それらの製造方法および製造装置
JP2010283360A (ja) * 2001-11-14 2010-12-16 Applied Materials Inc 配線を形成する方法及びプラズマスパッタリアクタ
JP2015201662A (ja) * 2001-11-14 2015-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スパッタリング及び再スパッタリングのための自己イオン化したプラズマ及び誘導結合したプラズマ
KR101028972B1 (ko) 2002-09-13 2011-04-12 가부시키가이샤 알박 바이어스 스퍼터링 막 형성 방법 및 바이어스 스퍼터링 막형성 장치
JP2007531271A (ja) * 2004-03-26 2007-11-01 東京エレクトロン株式会社 イオン化物理蒸着方法
USRE41653E1 (en) 2004-03-30 2010-09-07 Magnachip Semiconductor, Ltd. Method of forming metal wiring of semiconductor device
WO2007088792A1 (ja) * 2006-01-31 2007-08-09 Tokyo Electron Limited シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
KR100987835B1 (ko) 2006-01-31 2010-10-13 도쿄엘렉트론가부시키가이샤 시드막의 성막 방법, 플라즈마 성막 장치 및 기억 매체

Also Published As

Publication number Publication date
US20160322255A1 (en) 2016-11-03
US20050020080A1 (en) 2005-01-27
JP5534759B2 (ja) 2014-07-02
US9991157B2 (en) 2018-06-05
EP1034566A1 (en) 2000-09-13
US20110256716A1 (en) 2011-10-20
US20020029958A1 (en) 2002-03-14
US8158511B2 (en) 2012-04-17
US7074714B2 (en) 2006-07-11
JP2008308765A (ja) 2008-12-25
US7381639B2 (en) 2008-06-03
US20090269922A1 (en) 2009-10-29
US9390970B2 (en) 2016-07-12
US7795138B2 (en) 2010-09-14
US7989343B2 (en) 2011-08-02
US20070178682A1 (en) 2007-08-02
US20070020922A1 (en) 2007-01-25
US20100255678A1 (en) 2010-10-07
WO1999027579A1 (en) 1999-06-03
JP4947834B2 (ja) 2012-06-06
US20080166869A1 (en) 2008-07-10
US20040171250A1 (en) 2004-09-02
JP2010080965A (ja) 2010-04-08
US6919275B2 (en) 2005-07-19
US7589016B2 (en) 2009-09-15
US20050085068A1 (en) 2005-04-21
US6758947B2 (en) 2004-07-06
KR20010032498A (ko) 2001-04-25

Similar Documents

Publication Publication Date Title
JP4947834B2 (ja) ダメージフリー被覆刻設堆積法
US6605197B1 (en) Method of sputtering copper to fill trenches and vias
US5985759A (en) Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6391776B1 (en) Method of depositing a copper seed layer which promotes improved feature surface coverage
US20090053888A1 (en) Method of depositing a diffusion barrier layer which provides an improved interconnect
JP2008261059A (ja) 半導体基板上の薄膜層のアパーチャのための充填装置
EP1115898A1 (en) Tantalum films and methods for their deposition
US6448657B1 (en) Structure for reducing junction spiking through a wall surface of an overetched contact via
US20020093101A1 (en) Method of metallization using a nickel-vanadium layer
JP2791329B2 (ja) レーザを用いた付着方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050830

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080715

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20080715

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20080924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090105

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090113

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090302

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090309

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090331

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090519

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090918

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20091008

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20091204

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101111

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110425

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120120

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120306

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150316

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term