JP2001267241A - Method and apparatus for cleaning, and method and apparatus for etching - Google Patents

Method and apparatus for cleaning, and method and apparatus for etching

Info

Publication number
JP2001267241A
JP2001267241A JP2000066777A JP2000066777A JP2001267241A JP 2001267241 A JP2001267241 A JP 2001267241A JP 2000066777 A JP2000066777 A JP 2000066777A JP 2000066777 A JP2000066777 A JP 2000066777A JP 2001267241 A JP2001267241 A JP 2001267241A
Authority
JP
Japan
Prior art keywords
gas
fluorine
halogen
processing chamber
mixed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000066777A
Other languages
Japanese (ja)
Inventor
Atsushi Sonobe
淳 園部
Yoshikuni Kuroda
嘉邦 黒田
Jirusu Regis
レジス・ジルス
Minoru Ino
実 猪野
Takako Kimura
孝子 木村
Yukinobu Nishikawa
幸伸 西川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide SA, LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical Air Liquide SA
Priority to JP2000066777A priority Critical patent/JP2001267241A/en
Priority to TW90119197A priority patent/TW498414B/en
Publication of JP2001267241A publication Critical patent/JP2001267241A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To improve safety, cost and flexibility or the like of a method and an apparatus for cleaning and of a method and an apparatus for etching in a semiconductor processing system. SOLUTION: A cleaning apparatus 30 is connected to a processing chamber 12 of a CVD system 10 for silicon. The cleaning apparatus 30 has first, second and third gas sources 32, 34, 36, from which chlorine gas, fluorine gas and an inert gas are introduced through MFC 38a, 38b, 38c, respectively, wherein each flow rate is controlled under a mutually independent condition. These gases join in a piping member 42, being mixed to form a mixed gas. The mixed gas is led to a heated reactor 44, for example, a heat exchanger, to generate a generated gas containing chlorine fluoride gases such as ClF3 and the like by the reaction between the chlorine gas and the fluorine gas. The generated gas is fed to the inside of the processing chamber 12 through a cooling unit 4, an analyzing unit 48 and a buffer member 54.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はハロゲン間フッ素化
合物ガスを利用する、半導体処理システムにおけるクリ
ーニング方法及び装置並びにエッチング方法及び装置に
関する。なお、ここで、半導体処理とは、半導体ウエハ
やLCD基板等の被処理体上に半導体層、絶縁層、導電
層等を所定のパターンで形成することにより、該被処理
体上に半導体デバイスや、半導体デバイスに接続される
配線、電極等を含む構造物を製造するために実施される
種々の処理を意味する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a cleaning method and apparatus and an etching method and apparatus in a semiconductor processing system using an interhalogen fluorine compound gas. Here, the semiconductor processing means that a semiconductor layer, an insulating layer, a conductive layer, and the like are formed in a predetermined pattern on an object to be processed such as a semiconductor wafer or an LCD substrate, so that a semiconductor device or the like is formed on the object to be processed. Means various processes performed for manufacturing a structure including wirings, electrodes, and the like connected to a semiconductor device.

【0002】[0002]

【従来の技術】半導体処理システムにおいて、ClF3
等のハロゲン間フッ素化合物ガスが、処理室や排気管系
統のクリーニングや、被処理体のエッチングに利用され
ている。例えば、ClF3 (三弗化塩素)ガスは、シリ
コン(Si)、ポリシリコン、アモルファスシリコン、
酸化シリコン(SiO2 )、窒化シリコン(Si
3 4)、タングステンシリサイド(WSi2 )、タン
グステンチタン(TiW)、酸化タンタル(Ta
2 5 )、シリコンゲルマニウム(SiGe)等の膜を
形成するためのCVD装置のクリーニングガスとして使
用されている。ClF3 ガスは、プラズマを使用しない
で、しかも場合によっては常温でも反応する性質がある
という利点を有する。
2. Description of the Related Art In semiconductor processing systems, ClF 3
Are used for cleaning a processing chamber and an exhaust pipe system and for etching an object to be processed. For example, ClF 3 (chlorine trifluoride) gas is silicon (Si), polysilicon, amorphous silicon,
Silicon oxide (SiO 2 ), silicon nitride (Si
3 N 4 ), tungsten silicide (WSi 2 ), tungsten titanium (TiW), tantalum oxide (Ta
It is used as a cleaning gas for a CVD apparatus for forming a film such as 2 O 5 ) or silicon germanium (SiGe). The ClF 3 gas has the advantage that it does not use plasma and, in some cases, reacts at room temperature.

【0003】ClF3 ガスは、金属製ボンベに高純度の
液化ガスとして充填され、ユーザーサイトヘ提供され
る。ユーザーサイトでは、ボンベからClF3 の気相部
が取出され、その時のボンベの温度における蒸気圧或い
はそれ以下に減圧され、各半導体製造装置に送られる。
[0003] ClF 3 gas is filled into a metal cylinder as a high-purity liquefied gas and provided to a user site. At the user site, a gaseous phase portion of ClF 3 is taken out from the cylinder, reduced to a vapor pressure at the cylinder temperature at that time or lower, and sent to each semiconductor manufacturing apparatus.

【0004】ClF3 は沸点が12℃と低いため、特に
大流量でClF3 ガスを流す必要がある場合、必要なガ
ス量を得るため及び配管途中での再液化を防ぐために、
ボンベ及び供給配管系の温度コントロールを精密に行う
必要がある。しかしながら、ClF3 は非常に腐食性及
び酸化力が強く、特に液相状態における反応性は極めて
高い。このため、材料の点からボンベや配管の加熱に限
界があり、また実用面でも、ボンベ及び配管の加熱は好
ましくない。また、欧米では、このような反応性の高い
液化ClF3 ガスの貯蔵や輸送に関して厳しい規制があ
り、非常に望ましいクリーニングガスでありながら、そ
の適用範囲が限られている。
Since the boiling point of ClF 3 is as low as 12 ° C., especially when it is necessary to flow ClF 3 gas at a large flow rate, in order to obtain a necessary gas amount and to prevent re-liquefaction in the middle of piping,
It is necessary to precisely control the temperature of the cylinder and the supply piping system. However, ClF 3 has extremely strong corrosiveness and oxidizing power, and particularly has extremely high reactivity in a liquid phase state. For this reason, there is a limit to the heating of cylinders and pipes from the viewpoint of materials, and heating of cylinders and pipes is not preferable in practical use. Further, in Europe and the United States, there are strict regulations on storage and transportation of such highly reactive liquefied ClF 3 gas, and although it is a highly desirable cleaning gas, its application range is limited.

【0005】また、ClF3 をクリーニングガスとして
使用する場合、それほど高い純度は必要とされない。こ
のため、ユーザー側で必要とされるClF3 の純度とそ
の製造コストとが見合っていない場合がある。また、処
理によっては、処理ガスをClF3 のみから構成するよ
り、異なる成分、例えばClFやClF5 を混入させた
方がよい場合がある。更に、処理によっては、ClF或
いはClF5 を主成分として使用したい場合もある。こ
のように、処理に応じたガス成分の調整を行いたい場
合、現状では、別途に処理ガスの調製工程を加える等の
手続が必要となる。
When ClF 3 is used as a cleaning gas, a very high purity is not required. For this reason, there is a case where the purity of ClF 3 required on the user side does not match the manufacturing cost. In some processes, it is better to mix different components, for example, ClF or ClF 5 , than to configure the process gas only with ClF 3 . Further, depending on the processing, there may be a case where ClF or ClF 5 is to be used as a main component. As described above, when it is desired to adjust the gas components according to the process, a procedure such as adding a process gas preparation step separately is required at present.

【0006】[0006]

【発明が解決しようとする課題】本発明は、かかる従来
技術の問題点に鑑みてなされたものであり、ハロゲン間
フッ素化合物ガスを利用する、半導体処理システムにお
けるクリーニング方法及び装置並びにエッチング方法及
び装置において、安全性、コスト、柔軟性等を改良する
ことを目的とする。
SUMMARY OF THE INVENTION The present invention has been made in view of the above-mentioned problems in the prior art, and is directed to a cleaning method and apparatus, and an etching method and apparatus in a semiconductor processing system using an interhalogen fluorine compound gas. , To improve safety, cost, flexibility and the like.

【0007】[0007]

【課題を解決するための手段】上記目的を達成する本発
明は、オンサイトで且つオンデマンドでハロゲン間フッ
素化合物ガスを生成且つ供給することを基本的な特徴と
する。ここで、オンサイトとは、ハロゲン間フッ素化合
物ガスを生成する機構が、半導体処理システムの主処理
機構と組合わされることを意味する。また、オンデマン
ドとは、主処理機構側からの要求に応じたタイミングで
且つ必要とされる成分調整を伴って処理ガスが供給可能
となることを意味する。
SUMMARY OF THE INVENTION The present invention, which achieves the above object, has a basic feature of generating and supplying an interhalogen fluorine compound gas on-site and on-demand. Here, "on-site" means that a mechanism for generating an interhalogen fluorine compound gas is combined with a main processing mechanism of a semiconductor processing system. On-demand means that the processing gas can be supplied at a timing according to a request from the main processing mechanism and with necessary component adjustment.

【0008】本発明の第1の視点は、半導体処理システ
ムの処理室内に堆積した、Si、Mo、Ta、W、Si
Ox、SiNx、SiC、SiGe、TaSix、Ta
Ox、WSix、TiC、TiN、TiW、BN、IT
Oからなる群から選択された物質を含有する副生成物を
除去するクリーニング方法であって、第1及び第2ガス
源から夫々独立してフッ素以外の第1ハロゲンガスとフ
ッ素ガスとを導入すると共に、第3ガス源から選択的に
不活性ガスを導入し、これ等のガスを混合して混合ガス
を形成する工程と、前記混合ガスを加熱反応器に通して
前記第1ハロゲンガスと前記フッ素ガスとが反応する温
度に加熱することにより、ハロゲン間フッ素化合物ガス
を含む生成ガスを生成しながら、前記生成ガスを前記処
理室内に供給する工程と、を具備することを特徴とす
る。
[0008] A first aspect of the present invention is that Si, Mo, Ta, W, Si deposited in a processing chamber of a semiconductor processing system.
Ox, SiNx, SiC, SiGe, TaSix, Ta
Ox, WSix, TiC, TiN, TiW, BN, IT
A cleaning method for removing by-products containing a substance selected from the group consisting of O, wherein a first halogen gas other than fluorine and a fluorine gas are introduced independently from a first and a second gas source. Simultaneously introducing an inert gas from a third gas source and mixing these gases to form a mixed gas; and passing the mixed gas through a heating reactor to form the first halogen gas and the Supplying the generated gas into the processing chamber while generating a generated gas containing an interhalogen fluorine compound gas by heating to a temperature at which the fluorine gas reacts.

【0009】本発明の第2の視点は、第1の視点の方法
において、前記混合ガスにおける前記第1ハロゲンガ
ス、フッ素ガス、及び不活性ガスの容積比が10〜9
0:10〜90:0〜90に設定されることを特徴とす
る。
According to a second aspect of the present invention, in the method according to the first aspect, the volume ratio of the first halogen gas, the fluorine gas, and the inert gas in the mixed gas is 10 to 9%.
0:10 to 90: 0 to 90.

【0010】本発明の第3の視点は、第1または第2の
視点の方法において、前記第1ハロゲンガスは塩素ガス
であり、前記加熱反応器による前記混合ガスの加熱温度
は250℃〜350℃であることを特徴とする。
According to a third aspect of the present invention, in the method according to the first or second aspect, the first halogen gas is chlorine gas, and the heating temperature of the mixed gas by the heating reactor is 250 ° C. to 350 ° C. ° C.

【0011】本発明の第4の視点は、第1乃至第3のい
ずれかの視点の方法において、前記第不活性ガスはヘリ
ウムガスであることを特徴とする。
In a fourth aspect of the present invention, in the method according to any one of the first to third aspects, the inert gas is helium gas.

【0012】本発明の第5の視点は、半導体処理システ
ムの処理室内に堆積した、Si、Mo、Ta、W、Si
Ox、SiNx、SiC、SiGe、TaSix、Ta
Ox、WSix、TiC、TiN、TiW、BN、IT
Oからなる群から選択された物質を含有する副生成物を
除去するクリーニング装置であって、第1及び第2ガス
源から夫々独立してフッ素以外の第1ハロゲンガスとフ
ッ素ガスとを導入すると共に、第3ガス源から選択的に
不活性ガスを導入し、これ等のガスを混合して混合ガス
を形成する上流部と、前記混合ガスを加熱反応器に通し
て前記第1ハロゲンガスと前記フッ素ガスとが反応する
温度に加熱することにより、ハロゲン間フッ素化合物ガ
スを含む生成ガスを生成しながら、前記生成ガスを前記
処理室内に供給する下流部と、を具備することを特徴と
する。
A fifth aspect of the present invention is that Si, Mo, Ta, W, Si deposited in a processing chamber of a semiconductor processing system.
Ox, SiNx, SiC, SiGe, TaSix, Ta
Ox, WSix, TiC, TiN, TiW, BN, IT
A cleaning device for removing a by-product containing a substance selected from the group consisting of O, wherein a first halogen gas other than fluorine and a fluorine gas are introduced independently from a first and a second gas source. At the same time, an inert gas is selectively introduced from a third gas source, and these gases are mixed to form a mixed gas, and the first gas is mixed with the first halogen gas by passing the mixed gas through a heating reactor. By heating to a temperature at which the fluorine gas reacts, while producing a product gas containing an interhalogen fluorine compound gas, a downstream portion for supplying the product gas into the processing chamber is provided. .

【0013】本発明の第6の視点は、第5の視点の装置
において、前記上流部は、前記第1ハロゲンガス、フッ
素ガス、及び不活性ガスの流量を互いに独立して制御す
ることにより、前記混合ガスにおける前記第1ハロゲン
ガス、フッ素ガス、及び不活性ガスの容積比を変更する
コントローラを具備することを特徴とする。
According to a sixth aspect of the present invention, in the device according to the fifth aspect, the upstream section controls the flow rates of the first halogen gas, the fluorine gas, and the inert gas independently of each other, A controller for changing a volume ratio of the first halogen gas, the fluorine gas, and the inert gas in the mixed gas is provided.

【0014】本発明の第7の視点は、被処理体上の、S
i、SIPOS、Ta、TaSixからなる群から選択
された物質から実質的になる第1膜をエッチングする、
半導体処理システムのエッチング方法であって、第1及
び第2ガス源から夫々独立してフッ素以外の第1ハロゲ
ンガスとフッ素ガスとを導入すると共に、第3ガス源か
ら選択的に不活性ガスを導入し、これ等のガスを混合し
て混合ガスを形成する工程と、前記混合ガスを加熱反応
器に通して前記第1ハロゲンガスと前記フッ素ガスとが
反応する温度に加熱することにより、ハロゲン間フッ素
化合物ガスを含む生成ガスを生成しながら、前記生成ガ
スを前記処理室内に供給する工程と、を具備することを
特徴とする。
According to a seventh aspect of the present invention, an S
etching a first film consisting essentially of a material selected from the group consisting of i, SIPOS, Ta, TaSix;
An etching method for a semiconductor processing system, wherein a first halogen gas other than fluorine and a fluorine gas are introduced independently from first and second gas sources, and an inert gas is selectively supplied from a third gas source. Introducing and mixing these gases to form a mixed gas; and passing the mixed gas through a heating reactor to a temperature at which the first halogen gas and the fluorine gas react with each other to form a halogen gas. Supplying the generated gas into the processing chamber while generating the generated gas containing the interfluorinated compound gas.

【0015】本発明の第8の視点は、第7の視点の方法
において、前記被処理体上に、SiO2 、SiNx、T
aOx、フォトレジストからなる群から選択された物質
から実質的になる第2膜が存在し、前記エッチング方法
は、前記1膜を前記第2膜に対して選択的にエッチング
するものであることを特徴とする。
According to an eighth aspect of the present invention, in the method according to the seventh aspect, SiO 2 , SiNx, T
a second film substantially consisting of a material selected from the group consisting of aOx and photoresist is present, and the etching method selectively etches the first film with respect to the second film. Features.

【0016】本発明の第9の視点は、被処理体上の、S
i、SIPOS、Ta、TaSixからなる群から選択
された物質から実質的になる第1膜をエッチングする、
半導体処理システムのエッチング装置であって、前記被
処理体を収容する処理室と、第1及び第2ガス源から夫
々独立してフッ素以外の第1ハロゲンガスとフッ素ガス
とを導入すると共に、第3ガス源から選択的に不活性ガ
スを導入し、これ等のガスを混合して混合ガスを形成す
る上流部と、前記混合ガスを加熱反応器に通して前記第
1ハロゲンガスと前記フッ素ガスとが反応する温度に加
熱することにより、ハロゲン間フッ素化合物ガスを含む
生成ガスを生成しながら、前記生成ガスを前記処理室内
に供給する下流部と、を具備することを特徴とする。
According to a ninth aspect of the present invention, an S
etching a first film consisting essentially of a material selected from the group consisting of i, SIPOS, Ta, TaSix;
An etching apparatus for a semiconductor processing system, comprising: a processing chamber accommodating the object to be processed; and a first halogen gas other than fluorine and a fluorine gas independently introduced from a first gas source and a second gas source, respectively. An inert gas is selectively introduced from three gas sources, and an upstream portion for forming a mixed gas by mixing these gases; and passing the mixed gas through a heating reactor to form the first halogen gas and the fluorine gas. And a downstream section for supplying the generated gas into the processing chamber while generating a generated gas containing an interhalogen fluorine compound gas by heating to a temperature at which the reaction gas reacts.

【0017】[0017]

【発明の実施の形態】図1は本発明の実施の形態に係
る、半導体処理システムの処理室内に堆積した副生成物
を除去するクリーニング装置を示す概略図である。この
クリーニング装置30は、例えば、半導体ウエハやLC
D基板等の被処理基板上にシリコン膜を形成するための
CVD装置10に接続される。
FIG. 1 is a schematic view showing a cleaning apparatus for removing by-products accumulated in a processing chamber of a semiconductor processing system according to an embodiment of the present invention. The cleaning device 30 is, for example, a semiconductor wafer or an LC.
It is connected to a CVD apparatus 10 for forming a silicon film on a substrate to be processed such as a D substrate.

【0018】CVD装置10は、被処理基板を収納する
ための処理室12を具備する。処理室12内には、被処
理基板を載置するための載置台14が配設される。処理
室12の下部には、内部を排気すると共に真空に設定す
るための排気系16が接続される。また、処理室12の
上部には、SiH4 等の処理ガスを供給するための供給
系18が接続される。
The CVD apparatus 10 has a processing chamber 12 for accommodating a substrate to be processed. A mounting table 14 for mounting a substrate to be processed is provided in the processing chamber 12. An exhaust system 16 for exhausting the inside and setting a vacuum is connected to a lower portion of the processing chamber 12. Further, a supply system 18 for supplying a processing gas such as SiH 4 is connected to an upper portion of the processing chamber 12.

【0019】このようなCVD装置10においては、成
膜処理を重ねるにつれ、処理室12の内壁や排気系16
の配管の内壁にSiを主成分とする副生成物が堆積す
る。本発明に係るクリーニング装置30は、このような
副生成物を除去するために使用される。
In such a CVD apparatus 10, the inner wall of the processing chamber 12 and the exhaust system 16
A by-product containing Si as a main component is deposited on the inner wall of the pipe. The cleaning device 30 according to the present invention is used for removing such by-products.

【0020】クリーニング装置30は、塩素(Cl2
ガス、フッ素(F2 )ガス、及び不活性ガスを夫々供給
するための第1、第2、及び第3ガス源32、34、3
6を有する。塩素ガスのガス源32は液化ガスを充填し
たボンベからなる。塩素ガスは蒸気圧が高いため、比較
的供給が容易である。一方、フッ素ガスのガス源34
は、電気分解によりフッ素ガスを発生するガス発生器か
らなる。なお、フッ素ガスは高圧ガスとしてボンベで供
給することも可能である。
The cleaning device 30 is made of chlorine (Cl 2 ).
First, second, and third gas sources 32, 34, and 3 for supplying gas, fluorine (F 2 ) gas, and an inert gas, respectively.
6. The chlorine gas source 32 is composed of a cylinder filled with a liquefied gas. Chlorine gas is relatively easy to supply because of its high vapor pressure. On the other hand, a fluorine gas source 34
Consists of a gas generator that generates fluorine gas by electrolysis. The fluorine gas can be supplied as a high-pressure gas by a cylinder.

【0021】不活性ガスは、希釈ガス或いはキャリアガ
スとして機能するもので、ヘリウム、アルゴン、窒素等
のいずれかの不活性(inactive)ガスを使用することが
できる。しかし、ここでは、特に、後述する混合ガスの
加熱を補助する観点から、熱伝導率の高いヘリウムガス
を使用することが望ましい。なお、処理によっては、不
活性ガスは使用しない場合もあり、即ち、不活性ガスは
選択的に導入されることとなる。
The inert gas functions as a diluent gas or a carrier gas, and any inactive gas such as helium, argon, or nitrogen can be used. However, here, it is desirable to use a helium gas having a high thermal conductivity, particularly from the viewpoint of assisting the heating of the mixed gas described later. In some processes, an inert gas is not used, that is, the inert gas is selectively introduced.

【0022】第1、第2、及び第3ガス源32、34、
36からの塩素ガス、フッ素ガス、及び不活性ガスは、
夫々MFC(マスフローコントローラ)38a、38
b、38cを通して、流量が互いに独立して制御された
状態で導入される。このようにして夫々独立して導入さ
れた、塩素ガス、フッ素ガス、及び不活性ガスは、配管
部42で合流して混合され、混合ガスが形成される。こ
こで、混合ガスにおける塩素ガス、フッ素ガス、及び不
活性ガスの容積比は10〜90:10〜90:0〜90
に設定される。
First, second, and third gas sources 32, 34,
The chlorine, fluorine, and inert gases from 36
MFC (mass flow controller) 38a, 38 respectively
b, 38c are introduced with the flow rates controlled independently of one another. The chlorine gas, the fluorine gas, and the inert gas, which have been independently introduced in this manner, are joined and mixed in the pipe section 42 to form a mixed gas. Here, the volume ratio of chlorine gas, fluorine gas, and inert gas in the mixed gas is 10 to 90:10 to 90: 0 to 90.
Is set to

【0023】このようにして形成された混合ガスは、加
熱反応器44例えば熱交換器に通され、250℃〜35
0℃に加熱される。これにより、塩素ガスとフッ素ガス
とが反応し、ClF3 ガス等の弗化塩素ガスを含む生成
ガスが生成される。次に、ClF3 ガスを主成分とし、
他の弗化塩素ガス(ClF、ClF5 等)、副生成物、
未反応ガス等を含む生成ガスは、冷却器46によりCl
3 が液化しない室温程度まで冷却された後、ClF3
が液化しない程度の圧力で取出される。
The gas mixture thus formed is passed through a heating reactor 44, for example, a heat exchanger, and is heated at 250 ° C. to 35 ° C.
Heat to 0 ° C. As a result, the chlorine gas reacts with the fluorine gas to generate a product gas containing a chlorine fluoride gas such as a ClF 3 gas. Next, ClF 3 gas is used as a main component,
Other chlorine fluoride gas (ClF, ClF 5 etc.), by-products,
The product gas including unreacted gas and the like
After cooling to about room temperature where F 3 does not liquefy, ClF 3
Is removed at such a pressure that it does not liquefy.

【0024】冷却器46を出た生成ガスは、先ず、ハロ
ゲン間フッ素化合物を測定する分析器48を通される。
分析器48で得られた測定結果は、主コントローラ52
にフィードバックされ、これに基づいてMFC38a、
38b、38cが制御される。これにより、混合ガスに
おける塩素ガス、フッ素ガス、及び不活性ガスの容積比
が所定の値となるように調整される。
The product gas leaving the cooler 46 is first passed through an analyzer 48 for measuring interhalogen fluorine compounds.
The measurement result obtained by the analyzer 48 is transmitted to the main controller 52.
, And based on this, the MFC 38a,
38b and 38c are controlled. Thereby, the volume ratio of the chlorine gas, the fluorine gas, and the inert gas in the mixed gas is adjusted to be a predetermined value.

【0025】次に、生成ガスは、バッファ部54におい
て、CVD装置10の処理室12の条件に適合するよう
に、流量及び圧力を調整された後、処理室12内に供給
される。処理室12内に供給された生成ガス中のClF
3 ガス等の弗化塩素ガスは、処理室12の内壁や排気系
16の配管の内壁に堆積したSiを主成分とする副生成
物と反応し、これを内壁から剥離させる。剥離した副生
成物は、排気系16の作動による排気流にのってCVD
装置10外に排出される。
Next, the generated gas is supplied into the processing chamber 12 after its flow rate and pressure have been adjusted in the buffer section 54 so as to conform to the conditions of the processing chamber 12 of the CVD apparatus 10. ClF in the generated gas supplied into the processing chamber 12
Chlorine fluoride gas such as three gases reacts with by-products mainly composed of Si deposited on the inner wall of the processing chamber 12 and the inner wall of the piping of the exhaust system 16 and separates them from the inner wall. The exfoliated by-products are subjected to CVD by the exhaust flow by the operation of the exhaust system 16.
It is discharged out of the device 10.

【0026】なお、この実施の形態では、クリーニング
装置30は、シリコンのCVD装置10と組合わされて
示されるが、弗化塩素ガスは、シリコン(ポリシリコ
ン、アモルファスシリコンを含む)以外の物質を除去す
る場合にも有効である。具体的には、ここでいうシリコ
ン以外の物質とは、Mo、Ta、W、SiOx、SiN
x、SiC、SiGe、TaSix、TaOx、WSi
x、TiC、TiN、TiW、BN、ITO(indium t
in oxide)等である。従って、クリーニング装置30
は、本来の処理により、上に列挙した物質からなる群か
ら選択された物質を含有する副生成物が生成される、C
VD装置やエッチング装置等のクリーニングに有効に適
用することができる。
In this embodiment, the cleaning device 30 is shown in combination with the silicon CVD device 10, but the chlorine fluoride gas removes substances other than silicon (including polysilicon and amorphous silicon). It is also effective when doing. Specifically, substances other than silicon here include Mo, Ta, W, SiOx, and SiN.
x, SiC, SiGe, TaSix, TaOx, WSi
x, TiC, TiN, TiW, BN, ITO (indium t
in oxide). Therefore, the cleaning device 30
Indicates that the original treatment produces a by-product containing a substance selected from the group consisting of the substances listed above.
The present invention can be effectively applied to cleaning of a VD device, an etching device, and the like.

【0027】[実験]塩素ガス30SCCM、フッ素ガ
ス100SCCM、及びヘリウムガス100SCCMか
らなる混合ガスを形成し、250℃〜350℃に加熱し
たニッケル製の熱交換器からなる加熱反応器44に、系
内圧力836Torrで連続的に流し続けた。その結
果、加熱反応器44の出口付近で、ClF3 の濃度が1
0%〜30%、ClF3 の収率が60%〜80%の生成
ガスが得られることが判明した。
[Experiment] A mixed gas composed of 30 SCCM of chlorine gas, 100 SCCM of fluorine gas, and 100 SCCM of helium gas was formed, and heated in a heating reactor 44 composed of a nickel heat exchanger heated to 250 ° C. to 350 ° C. The flow was continuously continued at a pressure of 836 Torr. As a result, the concentration of ClF 3 near the outlet of the heating reactor 44 becomes 1
0% to 30%, the yield of ClF 3 was found to be 60% to 80% of the product gas can be obtained.

【0028】図2は本発明の別の実施の形態に係る、半
導体処理システムのエッチング装置を示す概略図であ
る。このエッチング装置60は、例えば、半導体ウエハ
やLCD基板等の被処理基板上においてSi膜をSiO
2 膜に対して優先的にエッチング(選択エッチング)す
るために使用される。
FIG. 2 is a schematic view showing an etching apparatus of a semiconductor processing system according to another embodiment of the present invention. The etching apparatus 60 converts, for example, a Si film on a substrate to be processed such as a semiconductor wafer or an LCD
It is used for preferentially etching (selective etching) the two films.

【0029】エッチング装置60は、被処理基板を収納
するための処理室62を具備する。処理室62内には、
被処理基板を載置するための載置台64が配設される。
処理室62の下部には、内部を排気すると共に真空に設
定するための排気系66が接続される。また、処理室6
2の上部には、エッチングガスを供給するための供給系
70が接続される。エッチング装置60の供給系70
は、図1図示のクリーニング装置30と同様な構成を有
する。
The etching apparatus 60 has a processing chamber 62 for accommodating a substrate to be processed. In the processing chamber 62,
A mounting table 64 for mounting a substrate to be processed is provided.
An exhaust system 66 for exhausting the inside and setting a vacuum is connected to a lower portion of the processing chamber 62. Processing chamber 6
A supply system 70 for supplying an etching gas is connected to the upper part of the second. Supply system 70 of etching apparatus 60
Has the same configuration as the cleaning device 30 shown in FIG.

【0030】即ち、供給系70は、塩素(Cl2 )ガ
ス、フッ素(F2 )ガス、及び不活性ガスを夫々供給す
るための第1、第2、及び第3ガス源72、74、76
を有する。第1、第2、及び第3ガス源72、74、7
6からの塩素ガス、フッ素ガス、及び不活性ガスは、夫
々MFC(マスフローコントローラ)78a、78b、
78cを通して、流量が互いに独立して制御された状態
で導入される。このようにして夫々独立して導入され
た、塩素ガス、フッ素ガス、及び不活性ガスは、配管部
82で合流して混合され、混合ガスが形成される。ここ
で、混合ガスにおける塩素ガス、フッ素ガス、及び不活
性ガスの容積比は10〜90:10〜90:0〜90に
設定される。
That is, the supply system 70 includes first, second, and third gas sources 72, 74, and 76 for supplying a chlorine (Cl 2 ) gas, a fluorine (F 2 ) gas, and an inert gas, respectively.
Having. First, second, and third gas sources 72, 74, 7
The chlorine gas, the fluorine gas, and the inert gas from No. 6 are respectively MFC (mass flow controllers) 78a, 78b,
Through 78c, the flow rates are introduced independently of one another. The chlorine gas, the fluorine gas, and the inert gas, which have been independently introduced in this manner, are joined and mixed in the pipe portion 82 to form a mixed gas. Here, the volume ratio of chlorine gas, fluorine gas, and inert gas in the mixed gas is set to 10 to 90:10 to 90: 0 to 90.

【0031】このようにして形成された混合ガスは、加
熱反応器84例えば熱交換器に通され、250℃〜35
0℃に加熱される。これにより、塩素ガスとフッ素ガス
とが反応し、ClF3 ガス等の弗化塩素ガスを含む生成
ガスが生成される。次に、ClF3 ガスを主成分とし、
他の弗化塩素ガス(ClF、ClF5 等)、副生成物、
未反応ガス等を含む生成ガスは、冷却器86によりCl
3 が液化しない室温程度まで冷却された後、ClF3
が液化しない程度の圧力で取出される。
The mixed gas thus formed is passed through a heating reactor 84, for example, a heat exchanger, and is heated at 250 ° C. to 35 ° C.
Heat to 0 ° C. As a result, the chlorine gas reacts with the fluorine gas to generate a product gas containing a chlorine fluoride gas such as a ClF 3 gas. Next, ClF 3 gas is used as a main component,
Other chlorine fluoride gas (ClF, ClF 5 etc.), by-products,
The produced gas including unreacted gas and the like
After cooling to about room temperature where F 3 does not liquefy, ClF 3
Is removed at such a pressure that it does not liquefy.

【0032】冷却器86を出た生成ガスは、先ず、ハロ
ゲン間フッ素化合物を測定する分析器88を通される。
分析器88で得られた測定結果は、主コントローラ92
にフィードバックされ、これに基づいてMFC78a、
78b、78cが制御される。これにより、混合ガスに
おける塩素ガス、フッ素ガス、及び不活性ガスの容積比
が所定の値となるように調整される。
The product gas exiting the cooler 86 is first passed through an analyzer 88 for measuring interhalogen fluorine compounds.
The measurement result obtained by the analyzer 88 is transmitted to the main controller 92.
To the MFC 78a,
78b and 78c are controlled. Thereby, the volume ratio of the chlorine gas, the fluorine gas, and the inert gas in the mixed gas is adjusted to be a predetermined value.

【0033】次に、生成ガスは、バッファ部94におい
て、CVD装置10の処理室12の条件に適合するよう
に、流量及び圧力を調整された後、処理室12内に供給
される。処理室62内に供給された生成ガス中のClF
3 ガス等の弗化塩素ガスは、被処理基板上においてSi
2 膜よりもSi膜と優先的に反応し、これをエッチン
グする。エッチング生成物は、排気系66の作動による
排気流にのってエッチング装置60外に排出される。
Next, the generated gas is supplied into the processing chamber 12 after its flow rate and pressure are adjusted in the buffer section 94 so as to conform to the conditions of the processing chamber 12 of the CVD apparatus 10. ClF in the generated gas supplied into the processing chamber 62
Chlorine fluoride gas such as 3 gas is
It reacts preferentially with the Si film over the O 2 film and etches it. The etching products are discharged out of the etching apparatus 60 by an exhaust flow generated by the operation of the exhaust system 66.

【0034】なお、この実施の形態では、エッチング装
置60は、被処理基板上においてSi膜からなる第1膜
をSiO2 膜からなる第2膜に対して選択エッチングす
るための装置として示されるが、弗化塩素ガスは、Si
膜とSiO2 膜との組合わせ以外の物質の組合わせの選
択エッチングにも有効である。具体的には、優先的にエ
ッチングされる第1膜は、Si、SIPOS(semi-ins
ulating polycrystalline silicon)、Ta、TaSi
xからなる群から選択された物質から実質的になること
ができる。また、優先的にエッチングされない第2膜
は、SiO2 、SiNx、TaOx、フォトレジストか
らなる群から選択された物質から実質的になることがで
きる。
In this embodiment, the etching apparatus 60 is shown as an apparatus for selectively etching a first film made of a Si film on a substrate to be processed with respect to a second film made of a SiO 2 film. , Chlorine fluoride gas is Si
It is also effective for selective etching of a combination of substances other than the combination of the film and the SiO 2 film. Specifically, the first film to be preferentially etched is Si, SIPOS (semi-ins).
ulating polycrystalline silicon), Ta, TaSi
It can consist essentially of a substance selected from the group consisting of x. The second layer which is preferentially not etching can be SiO 2, SiNx, TaOx, from a material selected from the group consisting of photoresist substantially.

【0035】上述のクリーニング装置30及びエッチン
グ装置60においては、ユーザーサイトで、塩素ガス、
フッ素ガス、及び不活性ガスをガス源として使用して、
ClF3 ガス等の弗化塩素ガスを生成且つ供給すること
ができる。従って、ClF3ガス等の弗化塩素ガスを、
液化ガスとしてボンベでユーザーサイトに提供する場合
の操作上及び法的規制上の問題を解消することができ
る。特に、これ等の装置30、60によれば、混合ガス
における塩素ガス、フッ素ガス、及び不活性ガスの容積
比を、前述の範囲で任意の値に設定したり、混合ガスの
加熱温度を前述の範囲で任意の値に設定することによ
り、生成ガスの組成を処理に応じて調整することができ
る。
In the cleaning device 30 and the etching device 60 described above, chlorine gas,
Using fluorine gas, and an inert gas as a gas source,
A chlorine fluoride gas such as a ClF 3 gas can be generated and supplied. Therefore, chlorine fluoride gas such as ClF 3 gas,
It is possible to eliminate operational and legal regulations problems when providing a liquefied gas to a user site with a cylinder. In particular, according to these devices 30 and 60, the volume ratio of chlorine gas, fluorine gas, and inert gas in the mixed gas can be set to an arbitrary value within the above-described range, and the heating temperature of the mixed gas can be set as described above. By setting an arbitrary value within the range, the composition of the generated gas can be adjusted according to the processing.

【0036】更に、第1ガス源(32、72)のガスと
して、塩素ガスに代え、別のハロゲンガス(フッ素以
外)を使用することにより、別のタイプのハロゲン間フ
ッ素化合物ガスを生成及び供給することが可能となる。
例えば、第1ガス源32のガスとして、臭素(Br2
ガスを使用すると、BrF、BrF3 、BrF5 の少な
くとも1つを含む生成ガスを供給することができる。ま
た、第1ガス源32のガスとして、ヨウ素(I2 )ガス
を使用すると、IF、IF3 、IF5 、IF7 の少なく
とも1つを含む生成ガスを供給することができる。
Further, another type of interhalogen fluorine compound gas is generated and supplied by using another halogen gas (other than fluorine) instead of chlorine gas as the gas of the first gas source (32, 72). It is possible to do.
For example, as the gas of the first gas source 32, bromine (Br 2 )
When a gas is used, a product gas containing at least one of BrF, BrF 3 , and BrF 5 can be supplied. When iodine (I 2 ) gas is used as the gas of the first gas source 32, a product gas containing at least one of IF, IF 3 , IF 5 , and IF 7 can be supplied.

【0037】[0037]

【発明の効果】以上説明したように、本発明によれば、
オンサイトで且つオンデマンドでハロゲン間フッ素化合
物ガスを含む生成ガスを供給することができるため、半
導体処理システムにおけるクリーニング方法及び装置並
びにエッチング方法及び装置の安全性、コスト、柔軟性
等を改良することができる。
As described above, according to the present invention,
Since a product gas containing an interhalogen fluorine compound gas can be supplied on-site and on-demand, the safety, cost, flexibility, and the like of the cleaning method and apparatus and the etching method and apparatus in the semiconductor processing system are improved. Can be.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態に係る、半導体処理システ
ムの処理室内に堆積した副生成物を除去するクリーニン
グ装置を示す概略図。
FIG. 1 is a schematic view showing a cleaning apparatus for removing a by-product deposited in a processing chamber of a semiconductor processing system according to an embodiment of the present invention.

【図2】本発明の別の実施の形態に係る、半導体処理シ
ステムのエッチング装置を示す概略図。
FIG. 2 is a schematic view showing an etching apparatus of a semiconductor processing system according to another embodiment of the present invention.

【符号の説明】[Explanation of symbols]

10…CVD装置 30…クリーニング装置 32、72…塩素ガス源 34、74…フッ素ガス源 36、76…不活性ガス源 38a〜38c、78a〜78c…MFC 42、82…配管部 44、84…加熱反応器 46、86…冷却器 48、88…分析器 52、92…主コントローラ 54、94…バッフア部 60…エッチング装置 70…供給系 DESCRIPTION OF SYMBOLS 10 ... CVD apparatus 30 ... Cleaning apparatus 32,72 ... Chlorine gas source 34,74 ... Fluorine gas source 36,76 ... Inert gas source 38a-38c, 78a-78c ... MFC 42,82 ... Piping part 44,84 ... Heating Reactors 46, 86 ... Coolers 48, 88 ... Analyzers 52, 92 ... Main controllers 54, 94 ... Buffer unit 60 ... Etching apparatus 70 ... Supply system

フロントページの続き (72)発明者 黒田 嘉邦 茨城県つくば市春日2−22−21 えのきマ ンション208号 (72)発明者 レジス・ジルス 茨城県つくば市二の宮1−19−12 マノワ ール二の宮 201号 (72)発明者 猪野 実 茨城県つくば市吉沼3497−3 (72)発明者 木村 孝子 茨城県稲敷郡阿見町荒川本郷 1338−28 (72)発明者 西川 幸伸 神奈川県横浜市瀬谷区二ツ橋町547−205 Fターム(参考) 4K030 DA06 5F004 AA15 BC08 BD04 CA01 CA02 DA00 DA04 DA22 DB00 DB01 DB08 DB10 DB12 DB15 DB17 5F045 AB02 AC01 AC02 BB20 EB06 EE04 EE05 EE11 EE13 EE14Continued on the front page (72) Inventor Yoshikuni Kuroda 2-22-21 Kasuga, Tsukuba City, Ibaraki Prefecture Enoki Mansion 208 (72) Inventor Regis Jils 1-19-12 Ninomiya, Tsukuba City, Ibaraki Prefecture 201-201 Manoir Ninomiya 201 (72) Inventor Minoru Ino 3497-3 Yoshinuma, Tsukuba City, Ibaraki Prefecture (72) Inventor Takako Kimura 1338-28, Arakawa Hongo, Ami Town, Inashiki-gun, Ibaraki Prefecture 205 F term (reference) 4K030 DA06 5F004 AA15 BC08 BD04 CA01 CA02 DA00 DA04 DA22 DB00 DB01 DB08 DB10 DB12 DB15 DB17 5F045 AB02 AC01 AC02 BB20 EB06 EE04 EE05 EE11 EE13 EE14

Claims (9)

【特許請求の範囲】[Claims] 【請求項1】半導体処理システムの処理室内に堆積し
た、Si、Mo、Ta、W、SiOx、SiNx、Si
C、SiGe、TaSix、TaOx、WSix、Ti
C、TiN、TiW、BN、ITOからなる群から選択
された物質を含有する副生成物を除去するクリーニング
方法であって、 第1及び第2ガス源から夫々独立してフッ素以外の第1
ハロゲンガスとフッ素ガスとを導入すると共に、第3ガ
ス源から選択的に不活性ガスを導入し、これ等のガスを
混合して混合ガスを形成する工程と、 前記混合ガスを加熱反応器に通して前記第1ハロゲンガ
スと前記フッ素ガスとが反応する温度に加熱することに
より、ハロゲン間フッ素化合物ガスを含む生成ガスを生
成しながら、前記生成ガスを前記処理室内に供給する工
程と、を具備することを特徴とするクリーニング方法。
An Si, Mo, Ta, W, SiOx, SiNx, Si deposited in a processing chamber of a semiconductor processing system.
C, SiGe, TaSix, TaOx, WSix, Ti
A cleaning method for removing a by-product containing a substance selected from the group consisting of C, TiN, TiW, BN, and ITO, wherein each of the first and second gas sources independently includes a first material other than fluorine.
A step of introducing a halogen gas and a fluorine gas, selectively introducing an inert gas from a third gas source, and mixing these gases to form a mixed gas; and supplying the mixed gas to a heating reactor. Supplying the product gas into the processing chamber while generating a product gas containing an interhalogen fluorine compound gas by heating to a temperature at which the first halogen gas and the fluorine gas react with each other. A cleaning method, comprising:
【請求項2】前記混合ガスにおける前記第1ハロゲンガ
ス、フッ素ガス、及び不活性ガスの容積比が10〜9
0:10〜90:0〜90に設定されることを特徴とす
る請求項1に記載のクリーニング方法。
2. The mixed gas has a volume ratio of the first halogen gas, fluorine gas and inert gas of 10 to 9%.
The cleaning method according to claim 1, wherein 0:10 to 90: 0 to 90 is set.
【請求項3】前記第1ハロゲンガスは塩素ガスであり、
前記加熱反応器による前記混合ガスの加熱温度は250
℃〜350℃であることを特徴とする請求項1または2
に記載のクリーニング方法。
3. The method according to claim 2, wherein the first halogen gas is chlorine gas.
The heating temperature of the mixed gas by the heating reactor is 250
3. The method according to claim 1, wherein the temperature is in the range of from 350C to 350C.
The cleaning method described in 1.
【請求項4】前記第不活性ガスはヘリウムガスであるこ
とを特徴とする請求項1乃至3のいずれかに記載のクリ
ーニング方法。
4. The cleaning method according to claim 1, wherein said inert gas is helium gas.
【請求項5】半導体処理システムの処理室内に堆積し
た、Si、Mo、Ta、W、SiOx、SiNx、Si
C、SiGe、TaSix、TaOx、WSix、Ti
C、TiN、TiW、BN、ITOからなる群から選択
された物質を含有する副生成物を除去するクリーニング
装置であって、 第1及び第2ガス源から夫々独立してフッ素以外の第1
ハロゲンガスとフッ素ガスとを導入すると共に、第3ガ
ス源から選択的に不活性ガスを導入し、これ等のガスを
混合して混合ガスを形成する上流部と、 前記混合ガスを加熱反応器に通して前記第1ハロゲンガ
スと前記フッ素ガスとが反応する温度に加熱することに
より、ハロゲン間フッ素化合物ガスを含む生成ガスを生
成しながら、前記生成ガスを前記処理室内に供給する下
流部と、を具備することを特徴とするクリーニング装
置。
5. A semiconductor processing system comprising: Si, Mo, Ta, W, SiOx, SiNx, Si deposited in a processing chamber.
C, SiGe, TaSix, TaOx, WSix, Ti
A cleaning device for removing a by-product containing a substance selected from the group consisting of C, TiN, TiW, BN, and ITO, wherein the first and second gas sources independently include a first material other than fluorine.
An upstream section for introducing a halogen gas and a fluorine gas, selectively introducing an inert gas from a third gas source, and mixing these gases to form a mixed gas; And a downstream section for supplying the generated gas into the processing chamber while generating a generated gas containing an interhalogen fluorine compound gas by heating to a temperature at which the first halogen gas and the fluorine gas react with each other. A cleaning device comprising:
【請求項6】前記上流部は、前記第1ハロゲンガス、フ
ッ素ガス、及び不活性ガスの流量を互いに独立して制御
することにより、前記混合ガスにおける前記第1ハロゲ
ンガス、フッ素ガス、及び不活性ガスの容積比を変更す
るコントローラを具備することを特徴とする請求項5に
記載のクリーニング装置。
6. The upstream section controls the flow rates of the first halogen gas, the fluorine gas, and the inert gas independently of each other, so that the first halogen gas, the fluorine gas, and the inert gas in the mixed gas are controlled. The cleaning device according to claim 5, further comprising a controller that changes a volume ratio of the active gas.
【請求項7】被処理体上の、Si、SIPOS、Ta、
TaSixからなる群から選択された物質から実質的に
なる第1膜をエッチングする、半導体処理システムのエ
ッチング方法であって、 第1及び第2ガス源から夫々独立してフッ素以外の第1
ハロゲンガスとフッ素ガスとを導入すると共に、第3ガ
ス源から選択的に不活性ガスを導入し、これ等のガスを
混合して混合ガスを形成する工程と、 前記混合ガスを加熱反応器に通して前記第1ハロゲンガ
スと前記フッ素ガスとが反応する温度に加熱することに
より、ハロゲン間フッ素化合物ガスを含む生成ガスを生
成しながら、前記生成ガスを前記処理室内に供給する工
程と、を具備することを特徴とするエッチング方法。
7. Si, SIPOS, Ta,
An etching method for a semiconductor processing system, wherein a first film substantially consisting of a material selected from the group consisting of TaSix is etched, wherein the first film other than fluorine is independently provided from the first and second gas sources.
A step of introducing a halogen gas and a fluorine gas, selectively introducing an inert gas from a third gas source, and mixing these gases to form a mixed gas; and supplying the mixed gas to a heating reactor. Supplying the product gas into the processing chamber while generating a product gas containing an interhalogen fluorine compound gas by heating to a temperature at which the first halogen gas and the fluorine gas react with each other. An etching method, comprising:
【請求項8】前記被処理体上に、SiO2 、SiNx、
TaOx、フォトレジストからなる群から選択された物
質から実質的になる第2膜が存在し、前記エッチング方
法は、前記1膜を前記第2膜に対して選択的にエッチン
グするものであることを特徴とする請求項7に記載のエ
ッチング方法。
8. The method according to claim 1, wherein the object to be processed is SiO 2 , SiNx,
There is a second film substantially consisting of a material selected from the group consisting of TaOx and photoresist, and the etching method selectively etches the one film with respect to the second film. The etching method according to claim 7, wherein:
【請求項9】被処理体上の、Si、SIPOS、Ta、
TaSixからなる群から選択された物質から実質的に
なる第1膜をエッチングする、半導体処理システムのエ
ッチング装置であって、 前記被処理体を収容する処理室と、 第1及び第2ガス源から夫々独立してフッ素以外の第1
ハロゲンガスとフッ素ガスとを導入すると共に、第3ガ
ス源から選択的に不活性ガスを導入し、これ等のガスを
混合して混合ガスを形成する上流部と、 前記混合ガスを加熱反応器に通して前記第1ハロゲンガ
スと前記フッ素ガスとが反応する温度に加熱することに
より、ハロゲン間フッ素化合物ガスを含む生成ガスを生
成しながら、前記生成ガスを前記処理室内に供給する下
流部と、を具備することを特徴とするエッチング装置。
9. Si, SIPOS, Ta,
An etching apparatus for a semiconductor processing system for etching a first film substantially made of a substance selected from the group consisting of TaSix, comprising: a processing chamber accommodating the object to be processed; and a first and second gas sources. Each independently of the first other than fluorine
An upstream section for introducing a halogen gas and a fluorine gas, selectively introducing an inert gas from a third gas source, and mixing these gases to form a mixed gas; And a downstream section for supplying the generated gas into the processing chamber while generating a generated gas containing an interhalogen fluorine compound gas by heating to a temperature at which the first halogen gas and the fluorine gas react with each other. An etching apparatus comprising:
JP2000066777A 2000-03-10 2000-03-10 Method and apparatus for cleaning, and method and apparatus for etching Withdrawn JP2001267241A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000066777A JP2001267241A (en) 2000-03-10 2000-03-10 Method and apparatus for cleaning, and method and apparatus for etching
TW90119197A TW498414B (en) 2000-03-10 2001-08-03 Method and apparatus for cleaning, and method and apparatus for etching

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000066777A JP2001267241A (en) 2000-03-10 2000-03-10 Method and apparatus for cleaning, and method and apparatus for etching

Publications (1)

Publication Number Publication Date
JP2001267241A true JP2001267241A (en) 2001-09-28

Family

ID=18586080

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000066777A Withdrawn JP2001267241A (en) 2000-03-10 2000-03-10 Method and apparatus for cleaning, and method and apparatus for etching

Country Status (2)

Country Link
JP (1) JP2001267241A (en)
TW (1) TW498414B (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002101805A1 (en) * 2001-06-08 2002-12-19 Tokyo Electron Limited Thin film forming apparatus cleaning method
WO2003056066A2 (en) * 2001-12-27 2003-07-10 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Apparatus for the generation and supply of fluorine gas
WO2004002882A1 (en) * 2002-06-28 2004-01-08 Robert Bosch Gmbh Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device
WO2004030037A2 (en) * 2002-09-26 2004-04-08 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (xfn) compounds for use in cleaning semiconductor processing chambers
JP2005101583A (en) * 2003-08-29 2005-04-14 Toshiba Corp Cleaning method of deposition system and deposition system
JP2006100589A (en) * 2004-09-29 2006-04-13 Toshiba Corp Film forming apparatus and method for cleaning the same
WO2007083480A1 (en) 2006-01-23 2007-07-26 Sharp Kabushiki Kaisha Plasma processing apparatus and semiconductor element manufactured by such apparatus
WO2010044343A1 (en) * 2008-10-14 2010-04-22 セントラル硝子株式会社 Method and device for continuously obtaining functional gas
JP2011506119A (en) * 2007-12-21 2011-03-03 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Production method of micro electro mechanical system
JP2012093455A (en) * 2010-10-25 2012-05-17 Hoya Corp Method of manufacturing imprint mold
WO2014125893A1 (en) * 2013-02-14 2014-08-21 セントラル硝子株式会社 Cleaning gas and cleaning method
WO2017175643A1 (en) * 2016-04-05 2017-10-12 関東電化工業株式会社 Cleaning method for semiconductor production apparatuses
EP4113582A4 (en) * 2020-02-26 2023-10-11 Resonac Corporation Dry etching method, production method for semiconductor element, and cleaning method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI637072B (en) * 2015-12-28 2018-10-01 日商昭和電工股份有限公司 METHOD OF CLEANING SiC SINGLE CRYSTAL GROWING CHAMBER

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6925731B2 (en) 2001-06-08 2005-08-09 Tokyo Electron Limited Thin film forming apparatus cleaning method
WO2002101805A1 (en) * 2001-06-08 2002-12-19 Tokyo Electron Limited Thin film forming apparatus cleaning method
WO2003056066A2 (en) * 2001-12-27 2003-07-10 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Apparatus for the generation and supply of fluorine gas
WO2003056066A3 (en) * 2001-12-27 2004-03-25 Air Liquide Apparatus for the generation and supply of fluorine gas
WO2004002882A1 (en) * 2002-06-28 2004-01-08 Robert Bosch Gmbh Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device
US8382940B2 (en) 2002-06-28 2013-02-26 Robert Bosch Gmbh Device and method for producing chlorine trifluoride and system for etching semiconductor substrates using this device
US6841141B2 (en) * 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
WO2004030037A3 (en) * 2002-09-26 2004-05-21 Advanced Tech Materials System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (xfn) compounds for use in cleaning semiconductor processing chambers
WO2004030037A2 (en) * 2002-09-26 2004-04-08 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (xfn) compounds for use in cleaning semiconductor processing chambers
JP2005101583A (en) * 2003-08-29 2005-04-14 Toshiba Corp Cleaning method of deposition system and deposition system
US7942974B2 (en) 2004-09-29 2011-05-17 Kabushiki Kaisha Toshiba Method of cleaning a film-forming apparatus
JP2006100589A (en) * 2004-09-29 2006-04-13 Toshiba Corp Film forming apparatus and method for cleaning the same
JP4686157B2 (en) * 2004-09-29 2011-05-18 株式会社東芝 Cleaning method for film forming apparatus
WO2007083480A1 (en) 2006-01-23 2007-07-26 Sharp Kabushiki Kaisha Plasma processing apparatus and semiconductor element manufactured by such apparatus
JP2011506119A (en) * 2007-12-21 2011-03-03 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Production method of micro electro mechanical system
WO2010044343A1 (en) * 2008-10-14 2010-04-22 セントラル硝子株式会社 Method and device for continuously obtaining functional gas
JP2012093455A (en) * 2010-10-25 2012-05-17 Hoya Corp Method of manufacturing imprint mold
WO2014125893A1 (en) * 2013-02-14 2014-08-21 セントラル硝子株式会社 Cleaning gas and cleaning method
JP2014154865A (en) * 2013-02-14 2014-08-25 Central Glass Co Ltd Cleaning gas and cleaning method
WO2017175643A1 (en) * 2016-04-05 2017-10-12 関東電化工業株式会社 Cleaning method for semiconductor production apparatuses
US11434565B2 (en) 2016-04-05 2022-09-06 Kanto Denka Kogyo Co., Ltd. Cleaning method of semiconductor manufacturing device
EP4113582A4 (en) * 2020-02-26 2023-10-11 Resonac Corporation Dry etching method, production method for semiconductor element, and cleaning method

Also Published As

Publication number Publication date
TW498414B (en) 2002-08-11

Similar Documents

Publication Publication Date Title
WO2003012843A1 (en) Method and apparatus for cleaning and method and apparatus for etching
TWI716421B (en) Methods to improve in-film particle performance of amorphous born-carbon hardmask process in pecvd system
JP2001267241A (en) Method and apparatus for cleaning, and method and apparatus for etching
US7758697B2 (en) Silicon-containing layer deposition with silicon compounds
TWI519667B (en) Deposition of metal films using alane-based precursors
KR100786611B1 (en) Free radical initiator in remote plasma chamber clean
TWI445117B (en) Chemical vapor deposiotn apparatus and method and apparatus for selectively forming semiconductor layer on substrate in reaction space
KR102183336B1 (en) Methods for depositing films on sensitive substrates
US20130183814A1 (en) Method of depositing a silicon germanium tin layer on a substrate
US20100203739A1 (en) Method for etching a layer on a silicon semiconductor substrate
TWI599671B (en) Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber
KR20160035991A (en) Methods and apparatuses for uniform reduction of in-feature wet etch rate of a silicon nitride film formed by ald
JP4689841B2 (en) Chlorine trifluoride gas generator
JP2023060085A (en) Method and apparatus for enhancing selectivity between titanium and titanium silicide during chemical vapor deposition
US9029264B2 (en) Methods for depositing a tin-containing layer on a substrate
KR20070065663A (en) Apparatus for fabricating semiconductor device
TW202413682A (en) In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment
JP7137428B2 (en) Thin film forming apparatus and its operation method
TW202233883A (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
TW202310040A (en) In situ generation process and system
WO2023229953A1 (en) In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070116

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090403