JP2000311939A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JP2000311939A
JP2000311939A JP11119334A JP11933499A JP2000311939A JP 2000311939 A JP2000311939 A JP 2000311939A JP 11119334 A JP11119334 A JP 11119334A JP 11933499 A JP11933499 A JP 11933499A JP 2000311939 A JP2000311939 A JP 2000311939A
Authority
JP
Japan
Prior art keywords
insulating film
wiring
film
forming
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11119334A
Other languages
English (en)
Inventor
Yoshihiro Kusumi
嘉宏 楠見
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP11119334A priority Critical patent/JP2000311939A/ja
Priority to US09/422,262 priority patent/US6548900B1/en
Publication of JP2000311939A publication Critical patent/JP2000311939A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 配線間の容量の低減および配線抵抗のばらつ
きの低減が図られる半導体装置とその製造方法を提供す
る。 【解決手段】 下部配線4を直接覆うようにシリコン窒
化膜などの接続孔ストッパ膜6を形成する。その接続孔
ストッパ膜を直接覆うように下部層間絶縁膜8を形成す
る。その下部層間絶縁膜を直接覆うように下部層間絶縁
膜とはエッチング特性の異なる上部層間絶縁膜10を形
成する。その上部層間絶縁膜10に異方性エッチングを
施すことにより上部配線溝18を形成する。その上部配
線溝18に上部配線20を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置およびそ
の製造方法に関し、特に、埋込配線からなる多層配線構
造を有する半導体装置およびその製造方法に関するもの
である。
【0002】
【従来の技術】埋込配線からなる多層配線構造を有する
半導体装置の第1の従来技術として、特開平9−153
54号公報に記載された半導体装置を例に挙げ、その製
造方法について説明する。図20を参照して、シリコン
基板101上に、CVD法等によりシリコン酸化膜など
の層間絶縁膜102を形成する。その層間絶縁膜102
上に下部配線104を形成する。
【0003】その下部配線104を覆うように、層間絶
縁膜102上に、シリコン窒化膜などの接続孔ストッパ
膜106を形成する。その接続孔ストッパ膜106上
に、CVD法等によりシリコン酸化膜などの下部層間絶
縁膜108を形成する。その下部層間絶縁膜108上
に、シリコン窒化膜などの上部溝ストッパ膜109を形
成する。
【0004】次に図21を参照して、上部溝ストッパ膜
109上にレジストパターン112を形成する。そのレ
ジストパターン112をマスクとして、上部溝ストッパ
膜109に異方性エッチングを施すことにより接続孔1
13aを形成する。その後、レジストパターン112を
除去する。
【0005】次に図22を参照して、接続孔113aを
埋めるように上部溝ストッパ膜109上に、CVD法等
によりシリコン酸化膜などの上部層間絶縁膜110を形
成する。
【0006】次に図23を参照して、上部層間絶縁膜1
10上にレジストパターン116を形成する。そのレジ
ストパターン116をマスクとして、上部層間絶縁膜1
10に異方性エッチングを施すことにより、上部溝スト
ッパ膜109の表面を露出する上部配線溝118を形成
する。
【0007】このとき、上部溝ストッパ膜109に形成
された接続孔113aにより、自己整合的に下部層間絶
縁膜109が同時にエッチングされて、接続孔ストッパ
膜106の表面を露出する接続孔113bが形成され
る。その後、レジストパターン116を除去する。接続
孔113bの底に露出している接続孔ストッパ膜106
を除去することにより、下部配線104の表面を露出す
る接続孔113を形成する。
【0008】次に図24を参照して、接続孔113およ
び上部配線溝118を埋めるように上部層間絶縁膜11
0上に、上部配線を形成するための導電層120を形成
する。次に図25を参照して、導電層120にCMP
(Chemical Mechanical Polishing)処理等を施すこと
により上部層間絶縁膜110の上面上に位置する導電層
を除去して、上部配線溝118内に上部配線120を形
成する。以上により、半導体装置において、埋込配線か
らなる多層配線構造の主要部分が完成する。
【0009】次に、第2の従来技術として特開平8−3
35634号公報に記載された半導体装置を例に挙げ、
その製造方法について説明する。まず図26を参照し
て、シリコン基板101上に下部配線104を形成す
る。その下部配線104を覆うようにシリコン基板10
1上に、CVD法等によりシリコン酸化膜などの層間絶
縁膜122を形成する。その層間絶縁膜122上にレジ
ストパターン123を形成する。
【0010】次に図27を参照して、レジストパターン
123をマスクとして、層間絶縁膜122に異方性エッ
チングを施すことにより、下部配線104の表面を露出
する接続孔124を形成する。次に図28を参照して、
接続孔124を埋めるように層間絶縁膜122上に有機
化合物層125を塗布形成する。この有機化合物層12
5では、後述する配線溝を形成する際の異方性エッチン
グによるエッチングレートが、層間絶縁膜122のエッ
チングレートの1/2以下であることが望ましい。
【0011】次に図29を参照して、層間絶縁膜122
の上面上に位置する有機化合物層125を除去すること
により、接続孔124内にのみ有機化合物層125を残
存させる。
【0012】次に図30を参照して、層間絶縁膜122
上にレジストパターン126を形成する。次に図31を
参照して、レジストパターン126をマスクとして、層
間絶縁膜122に異方性エッチングを施すことにより、
所定の深さの上部配線溝118を形成する。次に図32
を参照して、レジストパターン126と有機化合物層1
25を同時に除去する。
【0013】次に図33を参照して、接続孔124およ
び上部配線溝118を埋めるように、層間絶縁膜122
上に上部配線となる導電層(図示せず)を形成する。そ
の導電層にCMP処理を施すことにより、層間絶縁膜1
22の上面上に位置する導電層を除去して、上部配線溝
118内に上部配線120を形成する。以上により、半
導体装置において、埋込配線からなる多層配線構造の主
要部分が完成する。
【0014】
【発明が解決しようとする課題】しかしながら、上述し
た製造方法によって得られる従来の半導体装置では、以
下に示すような問題点があった。まず、第1の従来技術
の問題点について説明する。実際のデバイスにおいて
は、図25に示す上部配線120の近傍には、他の上部
配線(図示せず)が位置している。隣り合う2つの上部
配線はいずれも上部溝ストッパ膜109の直上に形成さ
れている。そして、2つの上部配線のそれぞれ向かい合
う側面の間には上部層間絶縁膜110が位置している。
【0015】このことにより、隣り合う2つの上部配線
間の容量においては、上部層間絶縁膜110に基づく容
量に加えて、上部溝ストッパ膜109に基づく容量が加
わることになり、配線間容量が増加してしまう。その結
果、半導体デバイスの性能が低下することがあった。
【0016】また、上部配線120の上にさらに上層の
配線(図示せず)を形成する場合には、上部配線120
の直上に、シリコン窒化膜などのストッパ膜(図示せ
ず)をさらに形成する必要がある。そのため、この場合
には、2つの隣り合う上部配線間の容量として、このス
トッパ膜に基づく容量がさらに加わり、上部配線間容量
がさらに増加することがあった。
【0017】次に、第2の従来技術の問題点について説
明する。上部配線が埋込まれる上部配線溝118は、図
31に示す工程において層間絶縁膜122に形成され
る。このとき、上部配線溝118の幅の異なる上部配線
溝を形成する場合には、一般に溝幅のより狭い上部配線
溝では、溝幅のより広い上部配線溝よりも溝の深さが浅
くなることがあり、溝幅によって上部配線溝の深さがば
らつくことがあった。その結果、その上部配線溝に埋込
まれる上部配線の抵抗にばらつきが生じた。
【0018】本発明は上記問題点を解決するためになさ
れたものであり、1つの目的は配線間容量の低減および
配線抵抗のばらつきの低減が図られる半導体装置を提供
することであり、他の目的はそのような半導体装置の製
造方法を提供することである。
【0019】
【課題を解決するための手段】本発明の第1の局面にお
ける半導体装置は、主表面を有する半導体基板と、第1
配線と、エッチングストッパ膜と、第1絶縁膜と、第2
絶縁膜と、配線溝と、第2配線とを備えている。第1配
線は、半導体基板上に形成されている。エッチングスト
ッパ膜は第1配線を直接覆うように形成されている。第
1絶縁膜は、エッチングストッパ膜を直接覆うように形
成されている。第2絶縁膜は、第1絶縁膜を直接覆うよ
うに形成されている。配線溝は、第2絶縁膜に形成さ
れ、第1絶縁膜の表面を露出している。第2配線はその
配線溝内に形成されている。
【0020】この半導体装置によれば、一般にシリコン
窒化膜等からなるエッチングストッパ膜は第1配線の直
上にのみ設けられ、従来の半導体装置のように第2配線
の直下には存在しない。これにより、隣り合う2つの第
2配線間の容量においては、エッチングストッパ膜に基
づく容量を考慮する必要がなくなり、主に第2絶縁膜に
基づく容量を考慮すればよいことになる。その結果、従
来の半導体装置と比較して、第2配線の直下にエッチン
グストッパ膜が存在しない分、隣り合う2つにの第2配
線間の容量を低減することができる。
【0021】好ましくは、第2絶縁膜は所定の厚さを有
し、第1絶縁膜とはエッチング特性が異なる。
【0022】この場合には、第2絶縁膜に異方性エッチ
ングを施すことによって配線溝を形成する際に、第1絶
縁膜を実質的にエッチングすることなく第2絶縁膜をエ
ッチングすることができる。これにより、配線溝の深さ
が実質的に一定になる。その結果、その配線溝に形成さ
れる第2配線の抵抗のばらつきを低減することができ
る。
【0023】上述した第1絶縁膜として具体的には、プ
ラズマ化学気相成長法により形成されたシリコン酸化膜
を適用でき、第2絶縁膜としてスピンオングラス法によ
り形成されたシリコン酸化膜を適用することができる。
また、エッチングストッパ膜としてシリコン窒化膜を適
用することができる。
【0024】本発明の第2の局面における半導体装置
は、主表面を有する半導体基板と、第1配線と、導電性
エッチングストッパ膜と、第1絶縁膜と、第2絶縁膜
と、第3絶縁膜と、配線溝と、第2配線とを備えてい
る。第1配線は、半導体基板上に形成されている。導電
性エッチングストッパ膜は、第1配線を直接覆うように
形成されている。第1絶縁膜は導電性エッチングストッ
パ膜を直接覆うように形成されている。第2絶縁膜は、
第1絶縁膜を直接覆うように形成され、第1絶縁膜とは
エッチング特性の異なっている。第3絶縁膜は第2絶縁
膜を直接覆うように形成されている。配線溝は第3絶縁
膜に形成され、第2絶縁膜の表面を露出している。第2
配線は配線溝内に形成されている。
【0025】この半導体装置によれば、第1配線の直上
にのみ導電性エッチングストッパ膜が設けられ、従来の
半導体装置のように第2配線の直下には、一般にシリコ
ン窒化膜等からなるエッチングストッパ膜が存在しな
い。これにより、隣り合う2つの第2配線間の容量にお
いては、エッチングストッパ膜に基づく容量を考慮する
必要がなく、主に第3絶縁膜に基づく容量を考慮すれば
よいことになる。その結果、従来の半導体装置と比較し
て、第2配線の直下にエッチングストッパ膜が存在しな
い分、隣り合う2つの第2配線間の容量を低減すること
ができる。
【0026】好ましくは、第3絶縁膜は所定の厚さを有
し、第2絶縁膜とはエッチング特性が異なっている。
【0027】この場合には、第3絶縁膜に異方性エッチ
ングを施すことによって配線溝を形成する際に、第2絶
縁膜を実質的にエッチングすることなく第3絶縁膜をエ
ッチングすることができる。これにより、配線溝の深さ
が実質的に一定になる。その結果、その配線溝に形成さ
れる第2配線の抵抗のばらつきを低減することができ
る。
【0028】上述した第3絶縁膜として具体的には、ス
ピンオングラス法により形成されたシリコン酸化膜を適
用でき、第2絶縁膜として、プラズマ化学気相成長法に
より形成されたシリコン酸化膜を適用することができ
る。
【0029】また、第1配線および前記第2配線とし
て、具体的には銅配線を適用することができる。
【0030】本発明の第3の局面における半導体装置の
製造方法は以下の工程を備えている。主表面を有する半
導体基板上に第1配線を形成する。第1配線を直接覆う
ようにエッチングストッパ膜を形成する。そのエッチン
グストッパ膜を直接覆うように第1絶縁膜を形成する。
その第1絶縁膜を直接覆うように、第1絶縁膜とエッチ
ング特性の異なる所定厚さの第2絶縁膜を形成する。そ
の第2絶縁膜上にレジストパターンを形成する。レジス
トパターンをマスクとして第2絶縁膜に異方性エッチン
グを施すことにより、第1絶縁膜の表面を露出する配線
溝を形成する。その配線溝内に第2配線を形成する。
【0031】この製造方法によれば、一般にシリコン窒
化膜等からなるエッチングストッパ膜は第1配線の直上
にのみ形成され、従来の半導体装置のように第2配線の
直下には形成されない。その結果、従来の半導体装置と
比較して第2配線の直下にエッチングストッパ膜が存在
しない分、隣り合う第2配線間の容量を低減することが
できる。また、第2配線の直下にエッチングストッパ膜
を形成する必要がないため、工程を削減することができ
る。
【0032】好ましくは、配線溝を形成する際の異方性
エッチングによる第1絶縁膜のエッチングレートが、第
2絶縁膜のエッチングレートの1/2以下である。
【0033】この場合には、第1絶縁膜を実質的にエッ
チングすることなく第2絶縁膜をエッチングすることが
でき、配線溝の深さが実質的に一定になる。その結果、
配線溝に形成される第2配線の抵抗のばらつきを低減す
ることができる。
【0034】好ましくは、第1絶縁膜を形成した後に、
第1絶縁膜上にレジストパターンを形成する工程と、レ
ジストパターンをマスクとして、第1絶縁膜に異方性エ
ッチングを施すことによりエッチングストッパ膜の表面
を露出する開口部を形成する工程と、配線溝を形成した
後に、開口部の底に露出するエッチングストッパ膜を除
去することにより第1配線の表面を露出して、第1配線
と第2配線とを電気的に接続するための接続孔を形成す
る工程とを備えている。
【0035】この場合には、接続孔を形成するための開
口部としては、第1絶縁膜の厚さ分だけをエッチングす
ればよく、第1絶縁膜上に形成するレジストパターンの
膜厚をより薄くすることができる。その結果、レジスト
パターンを形成するための写真製版の精度が向上する。
【0036】好ましくは、開口部を形成する際の異方性
エッチングによるエッチングストッパ膜のエッチングレ
ートが、第1絶縁膜のエッチングレートの1/5以下で
ある。
【0037】この場合には、開口部を形成する際にエッ
チングストッパ膜が実質的にエッチングされることな
く、第1絶縁膜がエッチングされることにより第1配線
がダメージを受けるのを抑制することができる。また、
開口部を形成する際のレジストパターンや配線溝を形成
する際のレジストパターンを、たとえば酸素プラズマ中
にて除去する際に、第1配線が酸化されるのを防止する
ことができる。
【0038】上述した第1絶縁膜として具体的には、プ
ラズマ化学気相成長法により形成されるシリコン酸化膜
を適用することができ、第2絶縁膜としてスピンオング
ラス法によって形成されるシリコン酸化膜を適用するこ
とができる。
【0039】本発明の第4の局面における半導体装置の
製造方法は以下の工程を備えている。主表面を有する半
導体基板上に第1配線を形成する。第1配線を直接覆う
ように導電性エッチングストッパ膜を形成する。その導
電性エッチングストッパ膜を直接覆うように第1絶縁膜
を形成する。その第1絶縁膜を直接覆うように、第1絶
縁膜とエッチング特性の異なる第2絶縁膜を形成する。
その第2絶縁膜を直接覆うように、第2絶縁膜とはエッ
チング特性の異なる所定厚さの第3絶縁膜を形成する。
その第3絶縁膜に異方性エッチングを施すことにより、
第2絶縁膜の表面を露出する配線溝を形成する。その配
線溝内に第2配線を形成する。
【0040】この製造方法によれば、第1配線の直上に
のみ導電性エッチングストッパ膜が形成され、従来の半
導体装置のように第2配線の直下には、一般にシリコン
窒化膜等からなるエッチングストッパ膜は形成されな
い。その結果、従来の半導体装置と比較して、第2配線
の直下にエッチングストッパ膜が存在しない分、隣り合
う2つの第2配線間の容量を低減することができる。ま
た、第2配線の直下にエッチングストッパ膜を形成する
必要がないため、工程を削減することができる。
【0041】好ましくは、配線溝を形成する際の異方性
エッチングによる第2絶縁膜のエッチングレートが、第
3の絶縁膜のエッチングレートの1/2以下である。
【0042】この場合には、第2絶縁膜を実質的にエッ
チングすることなく、第3絶縁膜をエッチングすること
ができ、配線溝の深さを実質的に一定にすることができ
る。その結果、配線溝内に形成される第2配線の抵抗の
ばらつきを低減することができる。
【0043】また好ましくは、第3絶縁膜を直接覆うよ
うに、第3絶縁膜とはエッチング特性の異なる第4絶縁
膜を形成する工程と、第1絶縁膜および第2絶縁膜に、
第1配線と第2配線とを電気的に接続するための接続孔
を形成する工程とを備え、接続孔を形成する工程は、第
4絶縁膜上にレジストパターンを形成するとともに、そ
のレジストパターンをマスクとして第2絶縁膜の途中ま
で異方性エッチングを施して接続孔を部分的に形成する
工程と、第3絶縁膜に配線溝を形成する際に、第2絶縁
膜の途中まで部分的に形成された接続孔の底に露出する
第2絶縁膜または第1絶縁膜に異方性エッチングを同時
に施すことにより、導電性エッチングストッパ膜の表面
を露出して接続孔を形成する工程とを含んでいる。
【0044】この場合には、第4絶縁膜上のレジストパ
ターンを、たとえば酸素プラズマ中にて除去する際に、
接続孔は第2絶縁膜の途中までしか形成されていないた
めに、第1配線が酸化されるのを抑制することができ
る。
【0045】上述した第1絶縁膜および第3絶縁膜とし
て、具体的にスピンオングラス法により形成されるシリ
コン酸化膜を適用でき、第2絶縁膜および第4絶縁膜と
して、プラズマ化学気相成長法により形成されるシリコ
ン酸化膜を適用することができる。
【0046】
【発明の実施の形態】実施の形態1 本発明の実施の形態1に係る半導体装置の製造方法と、
その方法によって得られる半導体装置について説明す
る。まず図1を参照して、シリコン基板1上に、CVD
法等によりシリコン酸化膜などの膜厚300〜1500
nmの層間絶縁膜2を形成する。その層間絶縁膜2に膜
厚300〜1500nmの下部配線4を形成する。その
下部配線4を直接覆うように、たとえばプラズマCVD
法等によりシリコン窒化膜などの膜厚30〜150nm
のエッチングストッパ膜としての接続孔ストッパ膜6を
形成する。
【0047】その接続孔ストッパ膜6を直接覆うよう
に、たとえばプラズマCVD法等によりシリコン酸化膜
などの膜厚300〜1500nmの第1絶縁膜としての
下部層間絶縁膜8を形成する。この下部層間絶縁膜8と
しては、TEOS(Tetra Ethyl Ortho Silicate Glas
s)系のシリコン酸化膜が好ましい。その下部層間絶縁
膜8上に、たとえばスピンオングラス法により、シリコ
ン酸化膜などの膜厚300〜1500nmの第2絶縁膜
としての上部層間絶縁膜10を形成する。
【0048】次に図2を参照して、上部層間絶縁膜10
上にレジストパターン12を形成する。そのレジストパ
ターン12をマスクとして、上部層間絶縁膜10および
下部層間絶縁膜8に異方性エッチングを施すことにより
接続孔ストッパ膜6の表面を露出する接続孔14aを形
成する。このとき、ドライエッチング装置としてECR
型RIE装置を用い、C48、O 2およびArを含む混
合ガスをプラズマ化し、そのプラズマ雰囲気中にて上部
層間絶縁膜10および下部層間絶縁膜8をエッチングを
施すことが好ましい。このエッチング条件では、異方性
エッチングによる接続孔ストッパ膜6のエッチングレー
トは下部層間絶縁膜8のエッチングレートの1/20程
度である。
【0049】また、このエッチング条件の下では、下部
層間絶縁膜8のエッチングレートは上部層間絶縁膜10
のエッチングレートの1/1.2程度である。このた
め、接続孔14aの形成に際して特に支障は生じない。
その後、レジストパターン12を、たとえば酸素プラズ
マ雰囲気中にて除去する。
【0050】次に図3を参照して、上部層間絶縁膜10
上にレジストパターン16を形成する。そのレジストパ
ターン16をマスクとして、上部層間絶縁膜10に異方
性エッチングを施すことにより、下部層間絶縁膜8の表
面を露出する上部配線溝18を形成する。
【0051】このとき、ドライエッチング装置としてE
CR型RIE装置を用い、C48、CHF3、COおよ
びArを含む混合ガスをプラズマ化し、そのプラズマ雰
囲気中にて上部層間絶縁膜10にエッチングを施すこと
が望ましい。このエッチング条件では、異方性エッチン
グによる下部層間絶縁膜8のエッチングレートは、上部
層間絶縁膜10のエッチングレートの1/2程度であ
り、下部層間絶縁膜8を実質的にエッチングすることな
く、上部層間絶縁膜10をエッチングすることができ
る。
【0052】また、これにより上部配線溝10の深さを
配線溝の幅に依存することなく、上部層間絶縁膜10の
厚さに対応した一定の深さに形成することができる。さ
らに、このエッチングにおいては、接続孔ストッパ膜6
はほとんどエッチングされない。
【0053】その後、レジストパターン16を、たとえ
ば酸素プラズマ雰囲気中にて除去する。このとき、下部
配線4は接続孔ストッパ膜6によって覆われているた
め、下部配線4が酸化されるのを抑制することができ
る。次に、CF4、O2およびArを含む混合ガスをプラ
ズマ化し、そのプラズマ雰囲気中にて接続孔14aの底
に露出している接続孔ストッパ膜6にエッチングを施す
ことにより、接続孔ストッパ膜6を除去して下部配線4
の表面を露出する。
【0054】次に図4を参照して、上部配線溝および接
続孔14a、14bを埋めるように上部層間絶縁膜10
上に、上部配線を形成するための導電層20を形成す
る。次に図5を参照して、CMP処理を施すことによ
り、上部層間絶縁膜10の上面上に位置する導電層20
を除去し、上部配線溝18内に上部配線20を形成す
る。以上により、半導体装置において、埋込配線からな
る多層配線構造の主要部分が完成する。
【0055】上述した多層配線構造を有する半導体装置
では、シリコン窒化膜等のストッパ膜は下部配線4の直
上にのみ設けられ、従来の半導体装置のように上部配線
20の直下には存在しない。これにより、隣り合う2つ
の上部配線間の容量においては、ストッパ膜に基づく容
量を考慮する必要がなくなり、主に上部層間絶縁膜10
に基づく容量を考慮すればよいことになる。その結果、
従来の半導体装置と比較して上部配線20の直下にスト
ッパ膜が存在しない分、隣り合う上部配線間の容量を低
減することができる。
【0056】このことについてさらに詳しく説明する。
図6は、隣り合う2つの上部配線20a、20bの上に
さらに上層の配線が形成されることを想定した構造を示
したものである。このため上部配線20a、20bの直
上には、これを直接覆うように接続孔ストッパ膜22が
形成されている。
【0057】次に、このような構造において、隣り合う
2つの上部配線20a、20b間の容量について考え
る。0次の近似として、上部配線20aの上部配線20
b側の側面(太線部分)からの電気力線24の成分を考
える。なお、この側面部分の紙面に垂直な方向について
は単位長さとする。そして、図7に示すように、接続孔
ストッパ膜22に基づく容量をC1とし、上部層間絶縁
膜10に基づく容量をC2とし、上部配線20a、20
b間の容量をCとすると、容量Cは、 C=C1+C2 …(1) となる。ここで、C1=ε1×S1/d、C2=ε2×
S2/dであり、dは隣り合う上部配線間の距離であ
る。
【0058】接続孔ストッパ膜22として、膜厚S1=
0.06μm、誘電率ε1=9のシリコン窒化膜を適用
し、上部層間絶縁膜10として、膜厚S2=0.6μ
m、誘電率ε2=3.5のTEOS系シリコン酸化膜を
適用する場合には、配線間の容量Cは、 C=2.64/D …(2) となる。
【0059】一方、比較のため従来の半導体装置の場合
について説明する。図8に示すように、隣り合う2つの
上部配線20a、20bの直下には上部溝ストッパ膜1
09が存在する。このことから、隣り合う2つの上部配
線20a、20b間の容量C′は、図9に示すように、
上述した容量C1およびC2に、上部溝ストッパ膜10
9に基づく容量C3が加わることになる。したがって、
容量C′は、 C′=C1+C2+C3 …(3) となる。ここで、C3=ε3×S3/dである。
【0060】上部溝ストッパ膜109として、膜厚S3
=0.06μm、誘電率ε3=9のシリコン窒化膜を適
用した場合には、隣り合う上部配線20a、20b間の
容量C′は、 C′=3.18/d …(4) となる。したがって、(2)と(4)とを比較すると、
本半導体装置では、従来の半導体装置に比べて、隣り合
う2つの上部配線20a、20b間の容量を従来の容量
の約83%にまで低減することができる。
【0061】なお、上記考察では、0次の近似として上
部配線20aの側面からの電気力線の成分を考えたが、
さらに近似を高めるには、上部配線20aの配線底面等
からの電気力線の成分も考慮する必要がある。そして、
その成分を考慮して容量を見積もった場合には、本半導
体装置における容量と従来の半導体装置における容量と
の差がさらに大きくなることがわかる。
【0062】以上説明したように、本半導体装置では、
上部配線20aの直下に、シリコン窒化膜などの上部溝
ストッパ膜が存在しない分、隣り合う2つの上部配線2
0a、20b間の容量を低減することができる。また、
上部溝ストッパ膜を形成する必要がないため、工程を削
減することができ、製造コストの低減を図ることが可能
となる。
【0063】なお、本実施の形態では、下部層間絶縁膜
8としてTEOS系シリコン酸化膜を用い、上部層間絶
縁膜10として、スピンオングラス法によって形成され
たシリコン酸化膜を用いた。この他に、上部配線溝18
を形成する際のエッチング条件によって、下部層間絶縁
膜8のエッチングレートが上部層間絶縁膜10のエッチ
ングレートの1/2以下であるような膜であれば、上記
膜には限られず、上部層間絶縁膜10の膜厚に対応した
深さを有する上部配線溝を形成することができる。
【0064】また、接続孔ストッパ膜6として、シリコ
ン窒化膜を用いた。この他に、接続孔14aを形成する
際の異方性エッチングによる接続孔ストッパ膜6のエッ
チングレートが下部層間絶縁膜8のエッチングレートの
1/5以下であるような膜であれば、シリコン窒化膜に
は限られず、接続孔14aや上部配線溝18を形成する
際のレジストパターンを酸素プラズマ雰囲気中にて除去
する際に、下部配線が酸化されるのを抑制することがで
きる。
【0065】実施の形態2 本発明の実施の形態2に係る半導体装置の製造方法と、
その方法によって得られる半導体装置について説明す
る。まず、図10に示す工程までは、実施の形態1の図
1に示された下部層間絶縁膜8を形成する工程までと同
様なので詳しい説明を省略する。次に図11を参照し
て、下部層間絶縁膜8上にレジストパターン26を形成
する。そのレジストパターン26をマスクとして、下部
層間絶縁膜8に異方性エッチングを施すことにより、接
続孔ストッパ膜6の表面を露出する接続孔14aを形成
する。
【0066】このとき、ドライエッチング装置としてE
CR型RIE装置を用い、C48、O2およびArを含
む混合ガスをプラズマ化し、そのプラズマ雰囲気中にシ
リコン基板1をさらすことにより下部層間絶縁膜8にエ
ッチングを施す。このエッチング条件では、異方性エッ
チングによる接続孔ストッパ膜6のエッチングレート
は、下部層間絶縁膜8のエッチングレートの1/20程
度であり、接続孔ストッパ膜6はほとんどエッチングさ
れない。その後、レジストパターン26を、たとえば酸
素プラズマ雰囲気中にて除去する。
【0067】次に図12を参照して、下部層間絶縁膜8
に形成された接続孔14aの開口端を塞ぐように、スピ
ンオングラス法により上部層間絶縁膜28を形成する。
このとき、上部層間絶縁膜28の材料としては、その材
料の粘性が0.7mPa・s以上であることが望まし
い。このような粘性を有する材料であって、接続孔14
aの開口径が0.4μm以下であれば、その材料が接続
孔14a内に流れ込むことなく接続孔14aの開口端を
塞ぐように、下部層間絶縁膜8上に上部層間絶縁膜28
を形成することができる。
【0068】次に図13を参照して、上部層間絶縁膜2
8上にレジストパターン30を形成する。そのレジスト
パターン30をマスクとして、上部層間絶縁膜28に異
方性エッチングを施すことにより、下部層間絶縁膜8の
表面を露出する上部配線溝18を形成する。
【0069】このとき、ドライエッチング装置として、
ECR型RIE装置を用い、C48、CHF3、COお
よびArを含む混合ガスをプラズマ化し、そのプラズマ
雰囲気中にて上部層間絶縁膜28にエッチングを施す。
このエッチング条件では、異方性エッチングによる下部
層間絶縁膜8のエッチングレートを上部層間絶縁膜28
のエッチングレートの1/3程度にすることができ、下
部層間絶縁膜28を実質的にエッチングすることなく上
部層間絶縁膜28をエッチングすることができる。
【0070】また、これにより上部配線溝18の深さを
配線溝の幅に依存することなく、上部層間絶縁膜28の
厚さに対応した一定の深さに形成することができる。さ
らに、このエッチング条件では、接続孔14aの底に露
出している接続孔ストッパ膜6はほとんどエッチングさ
れない。
【0071】次に、実施の形態1において説明した図3
から図5に示す工程と同様の工程を経ることにより、図
14に示す構造が得られる。以上により、半導体装置に
おいて、埋込配線からなる多層配線構造の主要部分が完
成する。
【0072】上述した製造方法によって形成された半導
体装置においては、実施の形態1と同様に、シリコン窒
化膜等の接続孔ストッパ膜が、下部配線4の直上にのみ
設けられ、従来の半導体装置のように上部配線20の直
下には上部溝ストッパ膜が存在しない。これにより、隣
り合う2つの上部配線間の容量においては、上部溝スト
ッパ膜に基づく容量を考慮する必要がなくなり、主に上
部層間絶縁膜28に基づく容量を考慮すればよいことに
なる。その結果、実施の形態1において詳細に説明した
ように、従来の半導体装置と比較して隣り合う上部配線
20間の容量を低減することができる。
【0073】また、上部配線20の直下にエッチングス
トッパ膜を形成する必要がないため、工程を削減でき、
製造コストを低減することが可能になる。
【0074】さらに、実施の形態1においては、接続孔
14aを形成する際に、上部層間絶縁膜10および下部
層間絶縁膜8の厚さに相当する深さをエッチングする必
要があったが、本実施の形態では、図11に示すよう
に、下部層間絶縁膜8の厚さに相当する深さをエッチン
グすればよい。このため、下部層間絶縁膜8上に形成さ
れるレジストパターン26の膜厚をより薄くすることが
でき、その結果、写真整版の精度が向上する効果も得ら
れる。
【0075】なお、本実施の形態では、下部層間絶縁膜
8としてTEOS系シリコン酸化膜を用い、上部層間絶
縁膜28として、スピンオングラス法によって形成され
たシリコン酸化膜を用いた。この他に、上部配線溝18
を形成する際のエッチング条件によって、下部層間絶縁
膜8のエッチングレートが上部層間絶縁膜28のエッチ
ングレートの1/2以下であるような膜であれば、上記
膜には限られず、上部層間絶縁膜の膜厚に対応した深さ
を有する上部配線溝を形成することができる。
【0076】また、接続孔ストッパ膜6として、シリコ
ン窒化膜を用いた。この他に、接続孔14aを形成する
際の異方性エッチングによる接続孔ストッパ膜6のエッ
チングレートが下部層間絶縁膜8のエッチングレートの
1/5以下であるような膜であれば、シリコン窒化膜に
は限られず、接続孔14aや上部配線溝18を形成する
際のレジストパターンを酸素プラズマ雰囲気中にて除去
する際に、下部配線が酸化されるのを抑制することがで
きる。
【0077】実施の形態3 本発明の実施の形態3に係る半導体装置の製造方法と、
その方法によって得られる半導体装置について説明す
る。まず図15を参照して、シリコン基板1上に、CV
D法等によりシリコン酸化膜などの膜厚300〜150
0nmの層間絶縁膜2を形成する。その層間絶縁膜2
に、膜厚300〜1500nmの下部配線4を形成す
る。その下部配線4を直接覆うように層間絶縁膜2上
に、たとえばスパッタ法等により導電性エッチングスト
ッパ膜としてのTiNバリア層(図示せず)を形成す
る。そのTiNバリア層上にレジストパターン34を形
成する。そのレジストパターン34をマスクとして、T
iNバリア層に異方性エッチングを施すことによりTi
Nバリア膜32を形成する。
【0078】なお、TiNバリア膜32の膜厚は、15
0nm以上であることが望ましい。また、TiNバリア
膜32は、下部配線4の幅よりも大きいことが望まし
く、長さLが200nm以上であることが特に望まし
い。このTiN膜により、後述する下部SOG膜36等
に下部配線4中の金属が拡散するのを抑制することがで
きる。その後、レジストパターン34を、たとえば酸素
プラズマ雰囲気中にて除去する。
【0079】次に図16を参照して、TiNバリア膜3
2を直接覆うように、層間絶縁膜2上に、スピンオング
ラス法によりシリコン酸化膜などの膜厚150〜100
0nmの下部SOG膜36を形成する。その下部SOG
膜36を直接覆うように、たとえばプラズマCVD法等
により膜厚150〜500nmの下部TEOS膜38を
形成する。その下部TEOS膜38を直接覆うように、
スピンオングラス法によりシリコン酸化膜などの膜厚3
00〜1400nmの上部SOG膜40を形成する。そ
の上部SOG膜40を直接覆うように、プラズマCVD
法等により膜厚50〜200nmの上部TEOS膜42
を形成する。
【0080】その上部TEOS膜42上にレジストパタ
ーン44を形成する。そのレジストパターン44をマス
クとして、上部TEOS膜42、上部SOG膜40およ
び下部TEOS膜38に異方性エッチングを施すことに
より接続孔46aを形成する。
【0081】このとき、エッチング装置としてECR型
RIE装置を用い、C48、O2およびArを含む混合
ガスをプラズマ化し、そのプラズマ雰囲気中にて下部T
EOS膜38の途中までエッチングを施す。レジストパ
ターン44を、たとえば酸素プラズマ雰囲気中にて除去
する。
【0082】またこのとき、接続孔46aは下部TEO
S膜38の途中までしかエッチングされていないため、
酸素プラズマによってTiNバリア膜32や下部配線4
が酸化されるのを抑制することができる。
【0083】次に図17を参照して、上部TEOS膜4
2上にレジストパターン48を形成する。このレジスト
パターン48をマスクとして、上部TEOS膜42およ
び上部SOG膜40に異方性エッチングを施すことによ
り、上部SOG膜40の途中まで、上部配線溝18を部
分的に形成する。このとき、下部TEOS膜38の途中
まで形成された接続孔46aの底に露出する下部TEO
S膜38がさらにエッチングされて、下部SOG膜36
の途中まで開口する。その後、レジストパターン48
を、たとえば酸素プラズマ雰囲気中にて除去する。
【0084】またこのとき、接続孔46aは下部SOG
膜36の途中までしかエッチングされていないため、酸
素プラズマによってTiNバリア膜32や下部配線4が
酸化されるのを抑制することができる。
【0085】次に図18を参照して、シリコン基板1に
全面ドライエッチバックを施すことにより、下部TEO
S膜38の表面を露出する上部配線溝18を形成する。
同時に、TiNバリア膜32の表面を露出する接続孔4
6を形成する。このとき、エッチング装置としてECR
型RIE装置を用い、C48、CHF3、COおよびA
rを含む混合ガスをプラズマ化し、そのプラズマ雰囲気
中にてエッチングを施す。
【0086】このエッチング条件によれば、下部TEO
S膜38を実質的にエッチングすることなく、上部SO
G膜40をエッチングすることができ、上部配線溝18
の深さを、配線の幅には依存せず、ほぼ一定にすること
ができる。次に図19を参照して、実施の形態1におい
て説明した図4および図5に示す工程と同様の工程を経
ることにより、図19に示す構造が得られる。以上によ
り、半導体装置において、埋込配線からなる多層配線構
造の主要部分が完成する。
【0087】上述した製造方法によって得られる半導体
装置では、下部配線4の直上にのみTiNバリア膜32
が導電性エッチングストッパ膜として設けられ、従来の
半導体装置のように、上部配線20の直下にはシリコン
窒化膜などの絶縁膜からなる上部溝エッチングストッパ
膜は存在しない。これにより、実施の形態1において詳
しく説明したように、隣り合う2つの上部配線間の容量
を低減することができる。
【0088】また、下部配線4の直上にはシリコン窒化
膜などのエッチングストッパ膜としての絶縁膜が存在し
ないため、隣り合う2つの下部配線4間の容量も低減す
ることができ、半導体装置の性能を大幅に向上させるこ
とができる。
【0089】さらに、上部配線溝18の深さを、配線の
幅に依存せずほぼ一定にすることができため、その上部
配線溝18に形成される上部配線20の配線抵抗のばら
つきを低減することができる。
【0090】なお、上述した実施の形態1〜3では、下
部配線4および上部配線20として、アルミニウムまた
はアルミニウム合金配線の他、銅配線も適用することが
できる。
【0091】また、上記各実施の形態では、ドライエッ
チング装置として、ECR型RIE装置を例に挙げた
が、このほかに平行平板型RIE装置、マグネトロンR
IE装置またはICP型RIE装置などを用いてもよ
く、エッチング装置に対応した条件を適用することによ
り、上述した各半導体装置を製造することができる。
【0092】今回開示された実施の形態はすべての点で
例示であって制限的なものではないと考えられるべきで
ある。本発明の範囲は上記した説明ではなくて特許請求
の範囲によって示され、特許請求の範囲と均等の意味お
よび範囲内でのすべての変更が含まれることが意図され
る。
【0093】
【発明の効果】本発明の第1の局面における半導体装置
によれば、一般にシリコン窒化膜等からなるエッチング
ストッパ膜は第1配線の直上にのみ設けられ、従来の半
導体装置のように第2配線の直下には存在しない。その
結果、従来の半導体装置と比較して、隣り合う2つにの
第2配線間の容量を低減することができる。
【0094】好ましくは、第2絶縁膜は所定の厚さを有
し、第1絶縁膜とはエッチング特性が異なることによっ
て、第1絶縁膜を実質的にエッチングすることなく第2
絶縁膜をエッチングすることができて、配線溝の深さが
実質的に一定になる結果、その配線溝に形成される第2
配線の抵抗のばらつきを低減することができる。
【0095】上述した第1絶縁膜として具体的には、プ
ラズマ化学気相成長法により形成されたシリコン酸化膜
を適用でき、第2絶縁膜としてスピンオングラス法によ
り形成されたシリコン酸化膜を適用することができる。
また、エッチングストッパ膜としてシリコン窒化膜を適
用することができる。
【0096】本発明の第2の局面における半導体装置に
よれば、第1配線の直上にのみ導電性エッチングストッ
パ膜が設けられ、従来の半導体装置のように第2配線の
直下には、一般にシリコン窒化膜等からなるエッチング
ストッパ膜が存在しない。その結果、従来の半導体装置
と比較して、隣り合う2つの第2配線間の容量を低減す
ることができる。
【0097】好ましくは、第3絶縁膜は所定の厚さを有
し、第2絶縁膜とはエッチング特性が異なっていること
によって、第2絶縁膜を実質的にエッチングすることな
く第3絶縁膜をエッチングすることができて、配線溝の
深さが実質的に一定になる結果、その配線溝に形成され
る第2配線の抵抗のばらつきを低減することができる。
【0098】上述した第3絶縁膜として具体的には、ス
ピンオングラス法により形成されたシリコン酸化膜を適
用でき、第2絶縁膜として、プラズマ化学気相成長法に
より形成されたシリコン酸化膜を適用することができ
る。
【0099】また、第1配線および前記第2配線とし
て、具体的には銅配線を適用することができる。
【0100】本発明の第3の局面における半導体装置の
製造方法によれば、一般にシリコン窒化膜等からなるエ
ッチングストッパ膜は第1配線の直上にのみ形成され、
従来の半導体装置のように第2配線の直下には形成され
ない。その結果、従来の半導体装置と比較して、隣り合
う第2配線間の容量を低減することができる。また、第
2配線の直下にエッチングストッパ膜を形成する必要が
ないため、工程を削減することができる。
【0101】好ましくは、配線溝を形成する際の異方性
エッチングによる第1絶縁膜のエッチングレートが、第
2絶縁膜のエッチングレートの1/2以下であることに
より、第1絶縁膜を実質的にエッチングすることなく第
2絶縁膜をエッチングすることができて、配線溝の深さ
が実質的に一定になる結果、配線溝に形成される第2配
線の抵抗のばらつきを低減することができる。
【0102】好ましくは、第1絶縁膜を形成した後に、
第1絶縁膜上にレジストパターンを形成する工程と、レ
ジストパターンをマスクとして、第1絶縁膜に異方性エ
ッチングを施すことによりエッチングストッパ膜の表面
を露出する開口部を形成する工程と、配線溝を形成した
後に、開口部の底に露出するエッチングストッパ膜を除
去することにより第1配線の表面を露出して、第1配線
と第2配線とを電気的に接続するための接続孔を形成す
る工程とを備えていることにより、第1絶縁膜上に形成
する接続孔を形成するためのレジストパターンの膜厚を
より薄くすることができ、レジストパターンを形成する
ための写真製版の精度が向上する。
【0103】好ましくは、開口部を形成する際の異方性
エッチングによるエッチングストッパ膜のエッチングレ
ートが、第1絶縁膜のエッチングレートの1/5以下で
あることにより、開口部を形成する際にエッチングスト
ッパ膜が実質的にエッチングされることなく、第1絶縁
膜がエッチングされることにより第1配線がダメージを
受けるのを抑制することができる。また、開口部を形成
する際のレジストパターンや配線溝を形成する際のレジ
ストパターンを、たとえば酸素プラズマ中にて除去する
際に、第1配線が酸化されるのを防止することができ
る。
【0104】上述した第1絶縁膜として具体的には、プ
ラズマ化学気相成長法により形成されたシリコン酸化膜
を適用することができ、第2絶縁膜としてスピンオング
ラス法によって形成されるシリコン酸化膜を適用するこ
とができる。
【0105】本発明の第4の局面における半導体装置の
製造方法によれば、第1配線の直上にのみ導電性エッチ
ングストッパ膜が形成され、従来の半導体装置のように
第2配線の直下に、一般にシリコン窒化膜等からなるエ
ッチングストッパ膜は形成されない。その結果、従来の
半導体装置と比較して、隣り合う2つの第2配線間の容
量を低減することができる。また、第2配線の直下にエ
ッチングストッパ膜を形成する必要がないため、工程を
削減することができる。
【0106】好ましくは、配線溝を形成する際の異方性
エッチングによる第2絶縁膜のエッチングレートが、第
3の絶縁膜のエッチングレートの1/2以下であること
により、第2絶縁膜を実質的にエッチングすることな
く、第3絶縁膜をエッチングすることができて、配線溝
の深さを実質的に一定にすることができる結果、配線溝
内に形成される第2配線の抵抗のばらつきを低減するこ
とができる。
【0107】また好ましくは、第3絶縁膜を直接覆うよ
うに、第3絶縁膜とはエッチング特性の異なる第4絶縁
膜を形成する工程と、第1絶縁膜および第2絶縁膜に、
第1配線と第2配線とを電気的に接続するための接続孔
を形成する工程とを備え、接続孔を形成する工程は、第
4絶縁膜上にレジストパターンを形成するとともに、そ
のレジストパターンをマスクとして第2絶縁膜の途中ま
で異方性エッチングを施して接続孔を部分的に形成する
工程と、第3絶縁膜に配線溝を形成する際に、第2絶縁
膜の途中まで部分的に形成された接続孔の底に露出する
第2絶縁膜または第1絶縁膜に異方性エッチングを同時
に施すことにより、導電性エッチングストッパ膜の表面
を露出して接続孔を形成する工程とを含んでいることに
よって、第4絶縁膜上のレジストパターンを、たとえば
酸素プラズマ中にて除去する際に、接続孔は第2絶縁膜
の途中までしか形成されていないために、第1配線が酸
化されるのを抑制することができる。
【0108】上述した第1絶縁膜および第3絶縁膜とし
て、具体的にスピンオングラス法により形成されたシリ
コン酸化膜を適用でき、第2絶縁膜および第4絶縁膜と
して、プラズマ化学気相成長法により形成されたシリコ
ン酸化膜を適用することができる。
【図面の簡単な説明】
【図1】 本発明の実施の形態1に係る半導体装置の製
造方法の一工程を示す断面図である。
【図2】 同実施の形態において、図1に示す工程の後
に行なわれる工程を示す断面図である。
【図3】 同実施の形態において、図2に示す工程の後
に行なわれる工程を示す断面図である。
【図4】 同実施の形態において、図3に示す工程の後
に行なわれる工程を示す断面図である。
【図5】 同実施の形態において、図4に示す工程の後
に行なわれる工程を示す断面図である。
【図6】 同実施の形態において、隣り合う上部配線間
の容量を見積もるための一構造を模式的に示した図であ
る。
【図7】 図6に示す構造に基づく配線間の容量を示す
図である。
【図8】 同実施の形態において、比較のための従来の
半導体装置における上部配線間の容量を見積もるための
一構造を模式的に示した図である。
【図9】 図8に示す構造に基づく配線間の容量を示す
図である。
【図10】 本発明の実施の形態2に係る半導体装置の
製造方法の一工程を示す断面図である。
【図11】 同実施の形態において、図10に示す工程
の後に行なわれる工程を示す断面図である。
【図12】 同実施の形態において、図11に示す工程
の後に行なわれる工程を示す断面図である。
【図13】 同実施の形態において、図12に示す工程
の後に行なわれる工程を示す断面図である。
【図14】 同実施の形態において、図13に示す工程
の後に行なわれる工程を示す断面図である。
【図15】 本発明の実施の形態3に係る半導体装置の
製造方法の一工程を示す断面図である。
【図16】 同実施の形態において、図15に示す工程
の後に行なわれる工程を示す断面図である。
【図17】 同実施の形態において、図16に示す工程
の後に行なわれる工程を示す断面図である。
【図18】 同実施の形態において、図17に示す工程
の後に行なわれる工程を示す断面図である。
【図19】 同実施の形態において、図18に示す工程
の後に行なわれる工程を示す断面図である。
【図20】 第1の従来技術に係る半導体装置の製造方
法の一工程を示す断面図である。
【図21】 図20に示す工程の後に行なわれる工程を
示す断面図である。
【図22】 図21に示す工程の後に行なわれる工程を
示す断面図である。
【図23】 図22に示す工程の後に行なわれる工程を
示す断面図である。
【図24】 図23に示す工程の後に行なわれる工程を
示す断面図である。
【図25】 図24に示す工程の後に行なわれる工程を
示す断面図である。
【図26】 第2の従来技術に係る半導体装置の製造方
法の一工程を示す断面図である。
【図27】 図26に示す工程の後に行なわれる工程を
示す断面図である。
【図28】 図27に示す工程の後に行なわれる工程を
示す断面図である。
【図29】 図28に示す工程の後に行なわれる工程を
示す断面図である。
【図30】 図29に示す工程の後に行なわれる工程を
示す断面図である。
【図31】 図30に示す工程の後に行なわれる工程を
示す断面図である。
【図32】 図31に示す工程の後に行なわれる工程を
示す断面図である。
【図33】 図32に示す工程の後に行なわれる工程を
示す断面図である。
【符号の説明】
1 シリコン基板、2 層間絶縁膜、4 下部配線、6
接続孔ストッパ膜、8 下部層間絶縁膜、10 上部
層間絶縁膜、12、16、26、30、34、44、4
8 レジストパターン、14、14a、14b、46、
46a 接続孔、18 上部配線溝、20、20a、2
0b 上部配線、22 接続孔ストッパ膜、24 電気
力線、28 SOG膜、32 TiNバリア膜、36
下部SOG膜、38 下部TEOS膜、40 上部SO
G膜、42 上部TEOS膜。
フロントページの続き Fターム(参考) 5F004 AA03 BA14 DA00 DA01 DA16 DA23 DA26 DB03 DB07 EA23 EB01 EB02 EB03 5F033 MM02 QQ09 QQ13 QQ16 QQ21 QQ23 QQ25 QQ37 QQ48 RR04 RR06 RR25 SS04 SS11 SS15 SS21 TT04 XX25 5F058 BA09 BA20 BC02 BC08 BD01 BF02 BF07 BF25 BF46 BH12 BH20 BJ02

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 主表面を有する半導体基板と、 前記半導体基板上に形成された第1配線と、 前記第1配線を直接覆うように形成されたエッチングス
    トッパ膜と、 前記エッチングストッパ膜を直接覆うように形成された
    第1絶縁膜と、 前記第1絶縁膜を直接覆うように形成された第2絶縁膜
    と、 前記第2絶縁膜に形成され、前記第1絶縁膜の表面を露
    出する配線溝と、 前記配線溝内に形成された第2配線と、を備えた、半導
    体装置。
  2. 【請求項2】 前記第2絶縁膜は所定の厚さを有し、前
    記第1絶縁膜とはエッチング特性が異なる、請求項1記
    載の半導体装置。
  3. 【請求項3】 前記第1絶縁膜はプラズマ化学気相成長
    法により形成されたシリコン酸化膜であり、前記第2絶
    縁膜はスピンオングラス法により形成されたシリコン酸
    化膜であり、前記エッチングストッパ膜はシリコン窒化
    膜である、請求項1または2に記載の半導体装置。
  4. 【請求項4】 主表面を有する半導体基板と、 前記半導体基板上に形成された第1配線と、 前記第1配線を直接覆うように形成された導電性エッチ
    ングストッパ膜と、 前記導電性エッチングストッパ膜を直接覆うように形成
    された第1絶縁膜と、 前記第1絶縁膜を直接覆うように形成され、前記第1絶
    縁膜とはエッチング特性の異なる第2絶縁膜と、 前記第2絶縁膜を直接覆うように形成された第3絶縁膜
    と、 前記第3絶縁膜に形成され、前記第2絶縁膜の表面を露
    出する配線溝と、 前記配線溝内に形成され第2配線とを備えた、半導体装
    置。
  5. 【請求項5】 前記第3絶縁膜は所定の厚さを有し、前
    記第2絶縁膜とはエッチング特性が異なる、請求項4記
    載の半導体装置。
  6. 【請求項6】 前記第3絶縁膜はスピンオングラス法に
    より形成されたシリコン酸化膜であり、前記第2絶縁膜
    はプラズマ化学気相成長法により形成されたシリコン酸
    化膜である、請求項4または5に記載の半導体装置。
  7. 【請求項7】 前記第1配線および前記第2配線は銅配
    線を含む、請求項1〜6のいずれかに記載の半導体装
    置。
  8. 【請求項8】 主表面を有する半導体基板上に第1配線
    を形成する工程と、 前記第1配線を直接覆うようにエッチングストッパ膜を
    形成する工程と、 前記エッチングストッパ膜を直接覆うように第1絶縁膜
    を形成する工程と、 前記第1絶縁膜を直接覆うように、前記第1絶縁膜とエ
    ッチング特性の異なる所定厚さの第2絶縁膜を形成する
    工程と、 前記第2絶縁膜上にレジストパターンを形成する工程
    と、 前記レジストパターンをマスクとして前記第2絶縁膜に
    異方性エッチングを施すことにより、前記第1絶縁膜の
    表面を露出する配線溝を形成する工程と、 前記配線溝内に第2配線を形成する工程と、を備えた、
    半導体装置の製造方法。
  9. 【請求項9】 前記配線溝を形成する際の異方性エッチ
    ングによる前記第1絶縁膜のエッチングレートが、前記
    第2絶縁膜のエッチングレートの1/2以下である、請
    求項8記載の半導体装置の製造方法。
  10. 【請求項10】 前記第1絶縁膜を形成した後に、前記
    第1絶縁膜上にレジストパターンを形成する工程と、 前記レジストパターンをマスクとして、前記第1絶縁膜
    に異方性エッチングを施すことにより、前記エッチング
    ストッパ膜の表面を露出する開口部を形成する工程と、 前記配線溝を形成した後に、前記開口部の底に露出する
    前記エッチングストッパ膜を除去することにより前記第
    1配線の表面を露出して、前記第1配線と前記第2配線
    とを電気的に接続するための接続孔を形成する工程とを
    備えた、請求項8または9に記載の半導体装置の製造方
    法。
  11. 【請求項11】 前記開口部を形成する際の異方性エッ
    チングによる前記エッチングストッパ膜のエッチングレ
    ートが、前記第1絶縁膜のエッチングレートの1/5以
    下である、請求項10記載の半導体装置の製造方法。
  12. 【請求項12】 前記第1絶縁膜はプラズマ化学気相成
    長法により形成されるシリコン酸化膜であり、前記第2
    絶縁膜はスピンオングラス法によって形成されるシリコ
    ン酸化膜である、請求項10または11に記載の半導体
    装置の製造方法。
  13. 【請求項13】 主表面を有する半導体基板上に第1配
    線を形成する工程と、 前記第1配線を直接覆うように導電性エッチングストッ
    パ膜を形成する工程と、 前記導電性エッチングストッパ膜を直接覆うように第1
    絶縁膜を形成する工程と、 前記第1絶縁膜を直接覆うように、前記第1絶縁膜とは
    エッチング特性の異なる第2絶縁膜を形成する工程と、 前記第2絶縁膜を直接覆うように、前記第2絶縁膜とは
    エッチング特性の異なる所定厚さの第3絶縁膜を形成す
    る工程と、 前記第3絶縁膜に異方性エッチングを施すことにより、
    前記第2絶縁膜の表面を露出する配線溝を形成する工程
    と、 前記配線溝内に第2配線を形成する工程と、を備えた、
    半導体装置の製造方法。
  14. 【請求項14】 前記配線溝を形成する際の異方性エッ
    チングによる前記第2絶縁膜のエッチングレートが、前
    記第3の絶縁膜のエッチングレートの1/2以下であ
    る、請求項13記載の半導体装置の製造方法。
  15. 【請求項15】 前記第3絶縁膜を直接覆うように、前
    記第3絶縁膜とはエッチング特性の異なる第4絶縁膜を
    形成する工程と、 前記第1絶縁膜および前記第2絶縁膜に、前記第1配線
    と前記第2配線とを電気的に接続するための接続孔を形
    成する工程と、を備え、 前記接続孔を形成する工程は、 前記第4絶縁膜上にレジストパターンを形成するととも
    に、該レジストパターンをマスクとして前記第2絶縁膜
    の途中まで異方性エッチングを施して前記接続孔を部分
    的に形成する工程と、 前記第3絶縁膜に前記配線溝を形成する際に、前記第2
    絶縁膜の途中まで部分的に形成された接続孔の底に露出
    する前記第2絶縁膜または前記第1絶縁膜に異方性エッ
    チングを同時に施すことにより、前記導電性エッチング
    ストッパ膜の表面を露出して前記接続孔を形成する工程
    とを含む、請求項13または14に記載の半導体装置の
    製造方法。
  16. 【請求項16】 前記第1絶縁膜および前記第3絶縁膜
    はスピンオングラス法により形成されるシリコン酸化膜
    であり、前記第2絶縁膜および前記第4絶縁膜はプラズ
    マ化学気相成長法により形成されるシリコン酸化膜であ
    る、請求項15記載の半導体装置の製造方法。
JP11119334A 1999-04-27 1999-04-27 半導体装置およびその製造方法 Pending JP2000311939A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP11119334A JP2000311939A (ja) 1999-04-27 1999-04-27 半導体装置およびその製造方法
US09/422,262 US6548900B1 (en) 1999-04-27 1999-10-21 Semiconductor device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11119334A JP2000311939A (ja) 1999-04-27 1999-04-27 半導体装置およびその製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008203468A Division JP4762280B2 (ja) 2008-08-06 2008-08-06 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2000311939A true JP2000311939A (ja) 2000-11-07

Family

ID=14758918

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11119334A Pending JP2000311939A (ja) 1999-04-27 1999-04-27 半導体装置およびその製造方法

Country Status (2)

Country Link
US (1) US6548900B1 (ja)
JP (1) JP2000311939A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6869752B2 (en) 2002-03-28 2005-03-22 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device having SOI structure
US7158197B2 (en) 1995-07-17 2007-01-02 Seiko Epson Corporation Reflective type color liquid crystal device and an electronic apparatus using this
JP2015153870A (ja) * 2014-02-13 2015-08-24 キヤノン株式会社 半導体装置の製造方法、光電変換装置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
JP2005085884A (ja) * 2003-09-05 2005-03-31 Rohm Co Ltd 半導体装置およびその製造方法
US7422981B2 (en) * 2005-12-07 2008-09-09 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
CN102217070B (zh) * 2009-09-03 2013-09-25 松下电器产业株式会社 半导体装置及其制造方法
US10002785B2 (en) * 2014-06-27 2018-06-19 Microchip Technology Incorporated Air-gap assisted etch self-aligned dual Damascene

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08335634A (ja) 1995-06-08 1996-12-17 Toshiba Corp 半導体装置の製造方法
JP2845176B2 (ja) 1995-08-10 1999-01-13 日本電気株式会社 半導体装置
JPH09153545A (ja) 1995-09-29 1997-06-10 Toshiba Corp 半導体装置及びその製造方法
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7158197B2 (en) 1995-07-17 2007-01-02 Seiko Epson Corporation Reflective type color liquid crystal device and an electronic apparatus using this
US7209197B2 (en) 1995-07-17 2007-04-24 Seiko Epson Corporation Reflective color LCD with color filters having particular transmissivity
US7286194B2 (en) 1995-07-17 2007-10-23 Seiko Epson Corporation Reflective type color liquid crystal device and an electronic apparatus using this
US7289174B1 (en) 1995-07-17 2007-10-30 Seiko Epson Corporation Reflective type color liquid crystal device and an electronic apparatus using this
US7304701B2 (en) 1995-07-17 2007-12-04 Seiko Epson Corporation Reflective type color liquid crystal device and an electronic apparatus using this
US7834958B2 (en) 1995-07-17 2010-11-16 Seiko Epson Corporation Reflective type color liquid crystal device and an electronic apparatus using this
US7995163B2 (en) 1995-07-17 2011-08-09 Seiko Epson Corporation Reflective type color liquid crystal device and an electronic apparatus using this
US6869752B2 (en) 2002-03-28 2005-03-22 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device having SOI structure
JP2015153870A (ja) * 2014-02-13 2015-08-24 キヤノン株式会社 半導体装置の製造方法、光電変換装置

Also Published As

Publication number Publication date
US6548900B1 (en) 2003-04-15

Similar Documents

Publication Publication Date Title
US7960240B1 (en) System and method for providing a dual via architecture for thin film resistors
JP3309783B2 (ja) 半導体装置の製造方法
JP3700460B2 (ja) 半導体装置およびその製造方法
US7808048B1 (en) System and method for providing a buried thin film resistor having end caps defined by a dielectric mask
JP3214475B2 (ja) デュアルダマシン配線の形成方法
US6350682B1 (en) Method of fabricating dual damascene structure using a hard mask
KR100342639B1 (ko) 반도체 구조물의 제조 방법
JP2000311939A (ja) 半導体装置およびその製造方法
JP3183238B2 (ja) 半導体装置の製造方法
JP3525788B2 (ja) 半導体装置の製造方法
JP4201421B2 (ja) 半導体装置の製造方法
US6204096B1 (en) Method for reducing critical dimension of dual damascene process using spin-on-glass process
JP2000223490A (ja) 半導体装置の製造方法
JP2003158190A (ja) 半導体装置およびその製造方法
JP2004079924A (ja) 半導体装置
JP2948588B1 (ja) 多層配線を有する半導体装置の製造方法
JP4762280B2 (ja) 半導体装置の製造方法
JPH1041385A (ja) 半導体装置及びその製造方法
JPH1074837A (ja) 半導体装置及びその製造方法
JP2000357743A (ja) 半導体装置およびその製造方法
KR100652358B1 (ko) 듀얼 다마신 형성방법
US6245667B1 (en) Method of forming via
JP2001148423A (ja) 半導体装置の製造方法
JP2004022694A (ja) 半導体装置の製造方法
JP2000058651A (ja) 多層配線を有する半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060131

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071204

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080318

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080514

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080701

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080730