EP2466379A1 - Resist composition and patterning process - Google Patents

Resist composition and patterning process Download PDF

Info

Publication number
EP2466379A1
EP2466379A1 EP11193475A EP11193475A EP2466379A1 EP 2466379 A1 EP2466379 A1 EP 2466379A1 EP 11193475 A EP11193475 A EP 11193475A EP 11193475 A EP11193475 A EP 11193475A EP 2466379 A1 EP2466379 A1 EP 2466379A1
Authority
EP
European Patent Office
Prior art keywords
branched
polymer
straight
resist
cyclic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP11193475A
Other languages
German (de)
French (fr)
Other versions
EP2466379B1 (en
Inventor
Koji Hasegawa
Takeshi Sasami
Yuji Harada
Taku Morisawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Publication of EP2466379A1 publication Critical patent/EP2466379A1/en
Application granted granted Critical
Publication of EP2466379B1 publication Critical patent/EP2466379B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Definitions

  • This invention relates to a resist composition for use in a photolithography process for the microfabrication of semiconductor devices, and particularly an immersion photolithography process involving directing ArF excimer laser radiation of wavelength 193 nm toward a resist-coated substrate, with water held between a projection lens and the substrate, and a process for forming a resist pattern.
  • the ArF excimer laser lithography combined with a high NA lens (NA ⁇ 0.9), is considered to comply with 65-nm node devices.
  • the F 2 laser lithography of 157 nm wavelength became a candidate.
  • ArF immersion lithography was proposed as a substitute for the F 2 lithography (see Proc. SPIE Vol. 4690, xxix, 2002 ).
  • the space between the projection lens and the wafer is filled with water and ArF excimer laser is irradiated through the water. Since water has a refractive index of 1.44 at 193 nm, pattern formation is possible even using a lens with NA of 1.0 or greater. The theoretically possible maximum NA is 1.44. The resolution is improved by an increment of NA.
  • a combination of a lens having NA of at least 1.2 with ultra-high resolution technology suggests a way to the 45-nm node (see Proc. SPIE Vol. 5040, p724, 2003 ).
  • the ArF immersion lithography has a possibility that water-soluble components in the resist film be leached in immersion water during exposure. Specifically an acid generated during exposure and a basic compound previously added to the resist material can be leached in immersion water. As a result, pattern profile changes and pattern collapse can occur. It is also pointed out that if the resist film is less water repellent, water droplets remaining on the resist film after scanning, though in a minute volume, can penetrate into the resist film to generate defects. It was then proposed to provide a protective coating between the resist film and water to prevent resist components from being leached out and water from penetrating into the resist film, the process being referred to as "topcoat process.” See 2nd Immersion Workshop: Resist and Cover Material Investigation for Immersion Lithography, 2003 .
  • a protective coating material which is soluble in alkaline developer is advantageous. This eliminates the step of stripping off the protective coating, offering great cost and process merits.
  • water-insoluble resist protective coating materials for example, resins having alkali-soluble units such as fluorinated alcohol, carboxyl or sulfo groups. See WO 2005/42453 and WO 2005/69676 .
  • topcoatless process a process for preventing resist components from being leached out and water from penetrating into the resist film without a need for a protective coating material has also been developed, the process being referred to as "topcoatless process". See JP-A 2006-48029 , JP-A 2006-309245 , and JP-A 2007-187887 .
  • an alkali-soluble hydrophobic polymer is added to the resist material as a surfactant, whereupon the hydrophobic compound is segregated at the resist surface during resist film formation.
  • the process is thus expected to achieve equivalent effects to the use of resist protective coating material. Additionally, the process is economically advantageous over the use of a resist protective film because steps of forming and removing the protective film are unnecessary.
  • the ArF immersion lithography requires a scanning speed of about 300 to 700 mm/sec in order to gain higher throughputs.
  • a scanning speed of about 300 to 700 mm/sec in order to gain higher throughputs.
  • water droplets may be left on the film surface after scanning. Residual droplets may cause defects.
  • water slip water
  • the film material must be designed so as to increase the receding contact angle (see 2nd International Symposium on Immersion Lithography, 12-15 Sept., 2005, Defectivity data taken with a full-field immersion exposure tool, Nakano et al).
  • One exemplary material known to have excellent water slip and water repellency on film surface is a copolymer of a-trifluoromethylacrylate and norbornene derivative ( Proc. SPIE Vol. 4690, p18, 2002 ). While this polymer was developed as the resin for F 2 (157 nm) lithography resist materials, it is characterized by a regular arrangement of molecules of (highly water repellent) ⁇ -trifluoromethylacrylate and norbornene derivative in a ratio of 2:1. When a water molecule interacts with methyl and trifluoromethyl groups, there is a tendency that the orientation distance between water and methyl is longer. A resin having a regular arrangement of both substituent groups is improved in water slip because of a longer orientation distance of water.
  • Blob defects are likely to form during spin drying after development, particularly when the film has a high surface contact angle after development.
  • One approach for suppressing blob defects is by introducing highly hydrophilic substituent groups (e.g., carboxyl or sulfo groups) into a resin to reduce the surface contact angle after development.
  • highly hydrophilic substituent groups e.g., carboxyl or sulfo groups
  • these groups serve to reduce the water repellency and water slip of the resin, this approach is not applicable to high-speed scanning.
  • the highly water repellent/water slippery materials discussed above are expected to be applied not only to the ArF immersion lithography, but also to the resist material for mask blanks. Resist materials for mask blanks are subject to long-term exposure in vacuum. It is pointed out that sensitivity variations or profile changes can occur as an amine component in the resist material is adsorbed to the resist film surface during the long-term exposure. It was then proposed to add a compound having surface active effect to modify the surface of a resist film for preventing adsorption of amine to the resist film.
  • An object herein is to provide new and useful resist compositions, especially chemically amplified positive resist compositions, which exhibit water repellency, water slip and minimal development defects, and pattern-forming processes using the compositions.
  • the proposed solution involves the use of an additive polymer.
  • the additive polymers proposed herein are found highly transparent to radiation with wavelength of up to 200 nm.
  • Various properties of the polymer including water repellency, water slip, fat solubility, acid lability, and hydrolysis may be adjusted by a choice of polymer structure.
  • the polymer can be prepared from reactants which are readily available and easy to handle.
  • the inventors have found that when a polymer having a fluorinated alcohol of specific structure, specifically a partial structure -C(CF 3 ) 2 OH in recurring units is used as an additive to formulate a resist composition, the resist composition forms a resist film which has sufficient water repellency and water slip to withstand high-speed scanning without a need for a resist protective film.
  • the present invention provides a resist composition and a pattern forming process, as defined below.
  • the invention provides a resist composition
  • a resist composition comprising (A) a polymer comprising recurring units of the following general formula (1a), (B) base resin polymer, other than (A), adapted (e.g. in well-known manner) to become soluble in alkaline developer under the action of acid produced by component (C) below, and preferably having a lactone ring-derived structure, hydroxyl-containing structure and/or maleic anhydride-derived structure, (C) a compound capable of generating an acid upon exposure to high-energy radiation, and (D) an organic solvent.
  • R 2 is hydrogen, fluorine, methyl or trifluoromethyl
  • Aa is a straight, branched or cyclic C 1 -C 20 hydrocarbon or fluorinated hydrocarbon group having a valence of k 1 +1
  • Ab is a straight, branched or cyclic C 1 -C 6 divalent hydrocarbon group
  • k 1 is an integer of 1 to 3
  • k 2 is 0 or 1.
  • the additive polymer (A) comprises recurring units of formula (1a) and recurring units of one or more type selected from the general formulae (2a) to (2j).
  • R 2 is as defined above
  • R 4a and R 4b are each independently hydrogen or a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon group, or R 4a and R 4b may bond together to form a non-aromatic ring of 3 to 8 carbon atoms with the carbon atom to which they are attached
  • R 6a , R 6b and R 6c are each independently hydrogen, or a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon group
  • R 6a and R 6b , R 6a and R 6c , or R 6b and R 6c may bond together to form a non-aromatic ring of 3 to 8 carbon
  • the base polymer (B) is selected from the group consisting of (meth)acrylate polymers, ( ⁇ -trifluoromethyl)acrylate-maleic anhydride copolymers, cycloolefin-maleic anhydride copolymers, polynorbornene, polymers resulting from ring-opening metathesis polymerization of cycloolefins, hydrogenated polymers resulting from ring-opening metathesis polymerization of cycloolefins, copolymers of hydroxystyrene with (meth)acrylate, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, or norbornadiene derivatives, and novolac resins.
  • the base polymer (B) may comprise recurring units of at least one type selected from the general formulae (2A) to (2D): wherein R 1A is hydrogen, fluorine, methyl or trifluoromethyl, XA is an acid labile group, XB and XC are each independently a single bond or a straight or branched C 1 -C 4 divalent hydrocarbon group, YA is a substituent group having a lactone structure, ZA is hydrogen, a C 1 -C 15 fluoroalkyl group or C 1 -C 15 fluoroalcohol-containing substituent group, and k 1A is an integer of 1 to 3.
  • the polymer (A) comprising recurring units of formula (1a) is added in an amount of 0.1 to 50 parts by weight per 100 parts by weight of the polymer (B).
  • the resist composition may further comprise (E) a basic compound and/or (F) a dissolution regulator.
  • the invention provides:
  • the liquid is water.
  • the preferred high-energy radiation has a wavelength in the range of 180 to 250 nm.
  • the invention provides a pattern forming process comprising the steps of (1) applying the resist composition defined above onto a mask blank, (2) heat treating and exposing the resulting resist film in vacuum to electron beam, and (3) developing with a developer.
  • photoresist films formed from the present resist compositions have sufficient barrier performance against water to prevent any resist components from being leached in water and thus minimize any change of pattern profile due to leach-out. Absent a need for a protective film which is commonly formed in the immersion lithography to prevent leach-out, the invention saves the cost for protective film formation.
  • the photoresist film has a high receding contact angle with water, allows few water droplets to be left on the photoresist film surface after scanning in the immersion lithography process, and thus minimizes a pattern formation failure caused by residual droplets on the film surface.
  • the use of the resist compositions disclosed herein can reduce the cost of the immersion lithography process and enable formation of a fine size pattern with few defects at a high accuracy.
  • Cn-Cm means a group containing from n to m carbon atoms per group.
  • PAG photoacid generator
  • PEB post-exposure bake
  • EB electron beam
  • EUV extreme ultraviolet
  • the abbreviation “phr” is parts by weight per 100 parts by weight of the base resin.
  • polymer P1 The polymer used as an additive in the resist composition of the invention is characterized by comprising recurring units having the general formula (1a).
  • polymer P1 the polymer comprising recurring units of formula (1a) is referred to as "polymer P1," hereinafter.
  • R 2 is hydrogen, fluorine, methyl or trifluoromethyl
  • Aa is a straight, branched or cyclic C 1 -C 20 hydrocarbon or fluorinated hydrocarbon group having a valence of k 1 +1
  • Ab is a straight, branched or cyclic C 1 -C 6 divalent hydrocarbon group
  • k 1 is an integer of 1 to 3
  • k 2 is 0 or 1.
  • Polymer P1 is characterized in that the recurring units of formula (1a) each contain a plurality of fluorine atoms. Once polymer P1 is added to a resist composition, polymer P1 itself functions as a surfactant to provide a distribution at the time when a resist film is formed, that polymer P1 is segregated at the resist film surface.
  • fluorinated polymers exert excellent functions of water repellency and water slip.
  • polymer P1 When polymer P1 is used as a resist additive, it is possible to form a resist film having a surface exerting excellent water repellency and water slip at the same time as its formation. An effect equivalent to the use of resist protective coating material is expectable. This approach is also advantageous in cost because it eliminates the steps of forming and removing a resist protective coating.
  • the monovalent hydrocarbon groups represented by R 1 may include protecting groups for alcoholic hydroxyl group, specifically groups having the general formula (R1-1) and (R1-2), tertiary alkyl groups of 4 to 15 carbon atoms, trialkylsilyl groups in which each alkyl moiety has 1 to 5 carbon atoms, oxoalkyl groups of 4 to 15 carbon atoms, and acyl groups of 1 to 10 carbon atoms.
  • R L01 and R L02 are each independently hydrogen or a straight, branched or cyclic alkyl group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms. Examples include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, n-octyl, norbornyl, tricyclodecanyl, tetracyclododecanyl, and adamantyl.
  • R L03 is a monovalent hydrocarbon group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, which may contain a heteroatom such as oxygen, examples of which include straight, branched or cyclic alkyl groups and substituted forms of such alkyl groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, oxo, amino, alkylamino or the like. Examples of the substituted alkyl groups are as shown below.
  • R L01 and R L02 , R L01 and R L03 , or R L02 and R L03 may bond together to form a ring with carbon and oxygen atoms to which they are attached.
  • Each of ring-forming R L01 , R L02 and R L03 is a straight or branched alkylene group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms when they form a ring.
  • R L04 is a tertiary alkyl group of 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, a trialkylsilyl group in which each alkyl moiety has 1 to 6 carbon atoms, an oxoalkyl group of 4 to 20 carbon atoms, or a group of formula (R1-1).
  • the subscript y is an integer of 0 to 6.
  • Suitable groups of R 1 and R L04 are illustrated below.
  • Exemplary tertiary alkyl groups include tert-butyl, tert-amyl, 1,1-diethylpropyl, 2-cyclopentylpropan-2-yl, 2-cyclohexylpropan-2-yl, 2-(bicyclo[2.2.1]heptan-2-yl)propan-2-yl, 2-(adamantan-1-yl)propan-2-yl, 1-ethylcyclopentyl, 1-butylcyclopentyl, 1-ethylcyclohexyl, 1-butylcyclohexyl, 1-ethyl-2-cyclopentenyl, 1-ethyl-2-cyclohexenyl, 2-methyl-2-adamantyl, 2-ethyl-2-adamantyl, and the like.
  • Exemplary trialkylsilyl groups are trimethylsilyl, triethylsilyl, and dimethyl-tert-butylsilyl.
  • Exemplary oxoalkyl groups are 3-oxocyclohexyl, 4-methyl-2-oxooxan-4-yl, and 5-methyl-2-oxooxolan-5-yl.
  • Examples of the acyl group include formyl, acetyl, ethylcarbonyl, pivaloyl, methoxycarbonyl, ethoxycarbonyl, tert-butoxycarbonyl, trifluoroacetyl, and trichloroacetyl.
  • the cyclic ones are, for example, tetrahydrofuran-2-yl, 2-methyltetrahydrofuran-2-yl, tetrahydropyran-2-yl, and 2-methyltetrahydropyran-2-yl.
  • Examples of the protective groups of formula (R1-2) include tert-butoxycarbonyl, tert-butoxycarbonylmethyl, tert-amyloxycarbonyl, tert-amyloxycarbonylmethyl, 1,1-diethylpropyloxycarbonyl, 1,1-diethylpropyloxycarbonylmethyl, 1-ethylcyclopentyloxycarbonyl, 1-ethylcyclopentyloxycarbonylmethyl, 1-ethyl-2-cyclopentenyloxycarbonyl, 1-ethyl-2-cyclopentenyloxycarbonylmethyl, 1-ethoxyethoxycarbonylmethyl, 2-tetrahydropyranyloxycarbonylmethyl, and 2-tetrahydrofuranyloxycarbonylmethyl.
  • Aa is any of straight, branched and cyclic C 1 -C 20 hydrocarbon, optionally being fluorinated hydrocarbon group, having a valence of k 1 +1. Examples of the C 1 -C 20 hydrocarbon group are shown below.
  • Examples of the C 1 -C 20 fluorinated hydrocarbon group include fluorinated forms of the foregoing in which some or all hydrogen atoms are replaced by fluorine atoms.
  • Ab is any of straight, branched and cyclic C 1 -C 6 divalent hydrocarbon group, examples being shown below.
  • R 2 is as defined above, and Me is methyl.
  • the additive polymer P1 may further comprise recurring units of one or multiple types selected from the general formulae (2a) to (2j).
  • the polymer having additional recurring units incorporated herein can be better in water repellency, water slip, alkaline dissolution, and contact angle after development.
  • the suitable amount of units of formula (1a) is not strictly determined, but preferably is at least 10mol% of monomer units in polymer P1.
  • R 2 is as defined above.
  • R 4a and R 4b are each independently hydrogen or a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon group, or R 4a and R 4b may bond together to form a C 3 -C 8 non-aromatic ring with the carbon atom to which they are attached.
  • R 5a is hydrogen, a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon or fluorinated hydrocarbon group, or an acid labile group.
  • R 6a , R 6b and R 6c are each independently hydrogen or a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon group, or R 6a and R 6b , R 6a and R 6c , or R 6b and R 6c may bond together to form a C 3 -C 8 non-aromatic ring with the carbon atom to which they are attached.
  • R 7a is hydrogen or a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon group
  • R 7b is a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon group, or R 7a and R 7b may bond together to form a C 3 -C 8 non-aromatic ring with the carbon atom to which they are attached.
  • R 8a , R 8b , and R 8c are each independently a straight, branched or cyclic C 1 -C 15 monovalent fluorinated hydrocarbon group.
  • R 9a is a straight, branched or cyclic C 1 -C 15 monovalent hydrocarbon or fluorinated hydrocarbon group.
  • the subscript k 2 is 0 or 1.
  • suitable straight, branched or cyclic C 1 -C 15 alkyl groups include methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, and adamantyl.
  • R 4a and R 4b , R 6a and R 6b , R 6a and R 6c , R 6b and R 6c , or R 7a and R 7b may bond together to form a C 3 -C 8 non-aromatic ring with the carbon atom to which they are attached.
  • each R is an alkylene group corresponding to the foregoing alkyl groups with one hydrogen atom eliminated therefrom, and exemplary rings are cyclopentyl and cyclohexyl.
  • R 5a , R 8a , R 8b , R 8c , and R 9a stand for straight, branched or cyclic C 1 -C 15 monovalent fluorinated hydrocarbon groups, specifically fluoroalkyl groups which are typically substituted forms of the foregoing alkyl groups in which some or all hydrogen atoms are substituted by fluorine atoms.
  • Examples include, but are not limited to, trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoroheptyl, 2-(perfluorobutyl)ethyl, 2-(perfluorohexyl)ethyl, 2-(perfluorooctyl)ethyl, 2-(perfluorodecyl)ethyl, and 3,3,4,4,5,5,6,6,6-nonafluorohexyl.
  • Examples of the straight, branched or cyclic C 1 -C 10 fluoroalkyl group represented by R 8a include trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoroheptyl, 2-(perfluorobutyl)ethyl, 2-(perfluorohexyl)ethyl, 2-(perfluorooctyl)ethyl, and 3,3,4,4,5,5,6,6,6-nonafluorohexyl.
  • the acid labile group represented by R 5a may be selected from a variety of such groups.
  • Examples of the acid labile group are groups of the following general formulae (L1) to (L4), tertiary alkyl groups of 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, trialkylsilyl groups in which each alkyl moiety has 1 to 6 carbon atoms, and oxoalkyl groups of 4 to 20 carbon atoms.
  • R L01 and R L02 are each independently hydrogen or a straight, branched or cyclic alkyl group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.
  • R L03 is a monovalent hydrocarbon group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, which may contain a heteroatom such as oxygen, examples of which include straight, branched or cyclic alkyl groups and substituted forms of such alkyl groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, oxo, amino, alkylamino or the like.
  • R L04 is a tertiary alkyl group of 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, a trialkylsilyl group in which each alkyl moiety has 1 to 6 carbon atoms, an oxoalkyl group of 4 to 20 carbon atoms, or a group of formula (L1).
  • R L05 is an optionally substituted, straight, branched or cyclic C 1 -C 10 alkyl group or an optionally substituted C 6 -C 20 aryl group.
  • R L06 is an optionally substituted, straight, branched or cyclic C 1 -C 10 alkyl group or an optionally substituted C 6 -C 20 aryl group.
  • R L07 to R L16 independently represent hydrogen or optionally substituted monovalent hydrocarbon groups of 1 to 15 carbon atoms.
  • Letter y is an integer of 0 to 6, m is equal to 0 or 1, n is equal to 0, 1, 2 or 3, and 2m+n is equal to 2 or 3.
  • the broken line denotes a valence bond.
  • exemplary groups of R L01 and R L02 include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, n-octyl, and adamantyl.
  • R L03 is a monovalent hydrocarbon group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, which may contain a heteroatom such as oxygen, examples of which include straight, branched or cyclic alkyl groups and substituted forms of such alkyl groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, oxo, amino, alkylamino or the like.
  • Illustrative examples of the straight, branched or cyclic alkyl groups are as exemplified above for R L01 and R L02 , and examples of the substituted alkyl groups are as shown below.
  • R L01 and R L02 , R L01 and R L03 , or R L02 and R L03 may bond together to form a ring with carbon and oxygen atoms to which they are attached.
  • Each of ring-forming R L01 , R L02 and R L03 is a straight or branched alkylene group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms when they form a ring.
  • exemplary tertiary alkyl groups of R L04 are tert-butyl, tert-amyl, 1,1-diethylpropyl, 2-cyclopentylpropan-2-yl, 2-cyclohexylpropan-2-yl, 2-(bicyclo[2.2.1]heptan-2-yl)propan-2-yl, 2-(adamantan-1-yl)propan-2-yl, 1-ethylcyclopentyl, 1-butylcyclopentyl, 1-ethylcyclohexyl, 1-butylcyclohexyl, 1-ethyl-2-cyclopentenyl, 1-ethyl-2-cyclohexenyl, 2-methyl-2-adamantyl, 2-ethyl-2-adamantyl, and the like.
  • Exemplary trialkylsilyl groups are trimethylsilyl, triethylsilyl, and dimethyl-tert-butylsilyl.
  • Exemplary oxoalkyl groups are 3-oxocyclohexyl, 4-methyl-2-oxooxan-4-yl, and 5-methyl-2-oxooxolan-5-yl.
  • examples of the optionally substituted C 1 -C 10 alkyl groups of R L05 include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, cyclopentyl, cyclohexyl, and bicyclo[2.2.1]heptyl, and substituted forms of such groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkylthio, sulfo or other groups or in which a methylene moiety is replaced by an oxygen or sulfur atom.
  • optionally substituted C 6 -C 20 aryl groups include phenyl, methylphenyl,
  • Exemplary C 1 -C 15 monovalent hydrocarbon groups of R L07 to R L16 include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl and cyclohexylbutyl, and substituted forms of these groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkylamino, cyano,
  • R L07 to R L16 may bond together to form a non-aromatic ring with the carbon atom(s) to which they are attached (for example, a pair of R L07 and R L08 , R L07 and R L09 , R L07 and R L10 , R L08 and R L10 , R L09 and R L10 , R L11 and R L12 , or R L13 and R L14 form a ring).
  • Each of R L07 to R L16 represents a C 1 -C 15 divalent hydrocarbon group, typically alkylene, when they form a ring, examples of which are those exemplified above for the monovalent hydrocarbon groups, with one hydrogen atom being eliminated.
  • R L07 to R L16 which are attached to vicinal carbon atoms may bond together directly to form a double bond (for example, a pair of R L07 and R L09 , R L09 and R L15 , R L13 and R L15 , or R L14 and R L15 ).
  • the cyclic ones are, for example, tetrahydrofuran-2-yl, 2-methyltetrahydrofuran-2-yl, tetrahydropyran-2-yl, and 2-methyltetrahydropyran-2-yl.
  • Examples of the acid labile groups of formula (L2) include tert-butoxycarbonyl, tert-butoxycarbonylmethyl, tert-amyloxycarbonyl, tert-amyloxycarbonylmethyl, 1,1-diethylpropyloxycarbonyl, 1,1-diethylpropyloxycarbonylmethyl, 1-ethylcyclopentyloxycarbonyl, 1-ethylcyclopentyloxycarbonylmethyl, 1-ethyl-2-cyclopentenyloxycarbonyl, 1-ethyl-2-cyclopentenyloxycarbonylmethyl, 1-ethoxyethoxycarbonylmethyl, 2-tetrahydropyranyloxycarbonylmethyl, and 2-tetrahydrofuranyloxycarbonylmethyl.
  • Examples of the acid labile groups of formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec-butylcyclopentyl, 1-cyclohexylcyclopentyl, 1-(4-methoxy-n-butyl)cyclopentyl, 1-(bicyclo[2.2.1]heptan-2-yl)cyclopentyl, 1-(7-oxabicyclo[2.2.1]heptan-2-yl)cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl-1-cyclohexen-3-yl, and 3-ethyl-1-cyclohexen-3-yl.
  • R L41 is each independently a monovalent hydrocarbon group, typically a straight, branched or cyclic C 1 -C 10 alkyl group, such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, cyclopentyl and cyclohexyl.
  • the general formula (L4-3) represents one or a mixture of two selected from groups having the following general formulas (L4-3-1) and (L4-3-2).
  • R L41 is as defined above.
  • the general formula (L4-4) represents one or a mixture of two or more selected from groups having the following general formulas (L4-4-1) to (L4-4-4). Note that R L41 is as defined above.
  • Each of formulas (L4-1) to (L4-4), (L4-3-1) and (L4-3-2), and (L4-4-1) to (L4-4-4) collectively represents an enantiomer thereof and a mixture of enantiomers.
  • Examples of the tertiary C 4 -C 20 alkyl groups, trialkylsilyl groups in which each alkyl moiety has 1 to 6 carbon atoms, and C 4 -C 20 oxoalkyl groups, represented by R 5a , are as exemplified for R L04 and the like.
  • polymers P1 comprising recurring units of formula (1a) in combination with recurring units of formulae (2a) to (2j) exert satisfactory performance as the resist additive
  • recurring units of one or multiple types selected from formulae (3a) to (3e), (4a) to (4e), (5a) to (5c), and (6a) to (6c) may be further incorporated therein for the purposes of imparting further water repellency and water slip, and controlling alkaline solubility and developer affinity.
  • R 11 is a C 1 -C 15 monovalent hydrocarbon or fluorinated hydrocarbon group
  • R 12 is an adhesive group
  • R 13 is an acid labile group
  • R 14 is a single bond or divalent C 1 -C 15 organic group
  • R 15 and R 16 each are hydrogen, methyl or trifluoromethyl.
  • Examples of the C 1 -C 15 monovalent hydrocarbon and fluorinated hydrocarbon groups represented by R 11 are the same as R 5a and R 8a .
  • the adhesive group represented by R 12 may be selected from a variety of such groups, typically those groups shown below. Herein, the broken line designates a valence bond.
  • the acid labile group represented by R 13 may be selected from those groups illustrated for R 5a .
  • Suitable divalent C 1 -C 15 organic groups represented by R 14 include the above-exemplified monovalent hydrocarbon groups, with one hydrogen atom eliminated (e.g., methylene and ethylene). Also useful are groups of the following formulae. Herein, the broken line designates a valence bond.
  • the polymer P1 used as the additive in the resist composition is characterized by comprising essentially recurring units having formula (1a).
  • Monomers from which these recurring units are derived may be synthesized by any well-known methods, for example, the method of JP Appln. 2010-218249 .
  • the polymer P1 may be synthesized by general polymerization processes including radical polymerization using initiators such as 2,2'-azobisisobutyronitrile (AIBN), and ionic (or anionic) polymerization using alkyl lithium or the like.
  • initiators such as 2,2'-azobisisobutyronitrile (AIBN)
  • ionic (or anionic) polymerization using alkyl lithium or the like.
  • the polymerization may be carried out by its standard technique.
  • the polymer P1 is synthesized by radical polymerization while the polymerization conditions may be determined in accordance with the type and amount of initiator, temperature, pressure, concentration, solvent, additives, and the like.
  • radical polymerization initiator used herein examples include azo compounds such as 2,2'-azobisisobutyronitrile (AIBN), 2,2'-azobis(4-methoxy-2,4-dimethylvaleronitrile), 2,2'-azobis(2,4-dimethylvaleronitrile), 2,2'-azobis(2,4,4-trimethylpentane), and dimethyl 2,2'-azobis(isobutyrate); peroxides such as tert-butylperoxypivalate, lauroyl peroxide, benzoyl peroxide, and tert-butylperoxylaurate; water-soluble polymerization initiators such as potassium persulfate; and redox initiators comprising a peroxide (e.g., potassium persulfate or hydrogen peroxide) combined with a reducing agent (e.g., sodium sulfite).
  • AIBN 2,2'-azobisisobutyronitrile
  • a peroxide e
  • the amount of polymerization initiator used may vary with its type and other polymerization conditions, it is generally used in an amount of 0.001 to 10 mol%, and preferably 0.01 to 6 mol% based on the total moles of monomers to be polymerized.
  • any known chain transfer agent such as dodecyl mercaptan or 2-mercaptoethanol may be added for molecular weight control purpose.
  • the amount of chain transfer agent added is preferably 0.01 to 10 mol% based on the total moles of monomers to be polymerized.
  • Polymer P1 may be synthesized by combining suitable monomers selected from polymerizable monomers corresponding to recurring units of formulae (1a), (2a) to (2j), (3a) to (3e), (4a) to (4e), (5a) to (5c), and (6a) to (6c), adding an initiator and chain transfer agent to the monomer mixture, and effecting polymerization.
  • a solvent may be used if desired. Preferred is the solvent which does not interfere with the desired polymerization reaction.
  • Typical solvents used herein include esters such as ethyl acetate, n-butyl acetate, and ⁇ -butyrolactone; ketones such as acetone, methyl ethyl ketone, and methyl isobutyl ketone; aliphatic or aromatic hydrocarbons such as toluene, xylene and cyclohexane; alcohols such as isopropyl alcohol and ethylene glycol monomethyl ether; and ether solvents such as diethyl ether, dioxane, and tetrahydrofuran, which may be used alone or in admixture.
  • the amount of solvent used may vary with the desired degree of polymerization (or molecular weight), the amount of initiator added, and other polymerization conditions such as temperature, it is generally used in such an amount as to provide a concentration of 0.1 to 95% by weight, preferably 5 to 90% by weight of monomers to be polymerized.
  • the temperature of the polymerization reaction may vary with the identity of polymerization initiator or the boiling point of solvent, it is preferably in the range of 20 to 200°C, and more preferably 50 to 140°C. Any desired reactor or vessel may be used for the polymerization reaction.
  • the organic solvent or water serving as the reaction medium is removed by any well-known techniques. Suitable techniques include, for example, re-precipitation followed by filtration, and heat distillation under vacuum.
  • polymer P1 has a weight average molecular weight (Mw) of 1,000 to 500,000, and especially 2,000 to 30,000, as determined versus polystyrene standards by gel permeation chromatography (GPC) using tetrahydrofuran as solvent. This is because a polymer with too low a Mw may readily dissolve in water whereas too high a Mw may lead to a decline of alkali solubility and cause defect formation during spin coating.
  • Mw weight average molecular weight
  • R 1 in formula (1a), R 5a in formulae (2a), (2b) and (2f), and R 13 in formulae (3c) and (4c) may be introduced by post-protection reaction.
  • a monomer wherein R 1 , R 5a or R 13 is hydrogen is previously polymerized to synthesize a precursor polymer.
  • Post-protection reaction is effected on the precursor polymer for substituting groups R 1 , R 5a or R 13 for some or all hydroxyl groups on the precursor polymer as shown below.
  • R 1 , R 5a , and R 13 are as defined above, and X is chlorine, bromine or iodine.
  • the desired polymer is obtainable via post-protection reaction by reacting the precursor polymer with a base in an amount of 1 to 2 equivalents relative to the desired degree of substitution of hydroxyl groups, and then with R 1 -X, R 5a -X or R 13 -X in an amount of 1 to 2 equivalents relative to the base.
  • the post-protection reaction may be effected in a solvent, which is selected from hydrocarbons such as benzene and toluene, and ethers such as dibutyl ether, diethylene glycol diethyl ether, diethylene glycol dimethyl ether, tetrahydrofuran and 1,4-dioxane, alone or in admixture.
  • a solvent which is selected from hydrocarbons such as benzene and toluene, and ethers such as dibutyl ether, diethylene glycol diethyl ether, diethylene glycol dimethyl ether, tetrahydrofuran and 1,4-dioxane, alone or in admixture.
  • Suitable bases used herein include, but are not limited to, sodium hydride, n-butyl lithium, lithium diisopropylamide, triethylamine, and pyridine.
  • the resist composition is defined as comprising (A) polymer P1, in combination with (B) a base resin, i.e., polymer which becomes soluble in an alkaline developer under the action of an acid as a base resin. Since polymer P1 contains a plurality of fluorine atoms, the overall polymer functions as a surfactant.
  • a resist film is formed by spin coating the composition, polymer P1 segregates in a sub-surface layer of the resist film. The sub-surface layer improves the water repellency and water slip on the resist surface, and prevents any water-soluble components in the resist composition from being leached out.
  • Polymer P1 is added as an additive to the resist composition preferably in an amount (total amount if plural polymers P1 are used) of 0.1 to 50 parts, more preferably 0.5 to 10 parts by weight per 100 parts by weight of the base resin (B). At least 0.1 phr of polymer P1 is effective in improving the receding contact angle with water of photoresist film surface, whereas up to 50 phr of polymer P1 forms a photoresist film having a low dissolution rate in alkaline developer and capable of maintaining the height of a fine pattern formed therein.
  • the resist composition contains (B) a polymer having a lactone ring-derived structure, hydroxyl-containing structure and/or maleic anhydride-derived structure and adapted to become soluble in an alkaline developer under the action of an acid as a base resin.
  • Examples of the base polymer (B) include, but are not limited to, (meth)acrylate polymers, ( ⁇ -trifluoromethyl)acrylate-maleic anhydride copolymers, cycloolefin-maleic anhydride copolymers, polynorbornene, polymers resulting from ring-opening metathesis polymerization (ROMP) of cycloolefins, hydrogenated cycloolefin ROMP polymers, copolymers of hydroxystyrene with (meth)acrylate, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, or norbornadiene derivatives, and novolac resins.
  • (meth)acrylate polymers ( ⁇ -trifluoromethyl)acrylate-maleic anhydride copolymers, cycl
  • the polymer serving as base resin (B) is not limited to one type and a mixture of two or more polymers may be added. The use of plural polymers allows for easy adjustment of resist properties.
  • the base polymer (B) may further comprise recurring units of at least one type selected from the general formulae (2A) to (2D).
  • R 1A is hydrogen, fluorine, methyl or trifluoromethyl
  • XA is an acid labile group
  • XB and XC are each independently a single bond or a straight or branched C 1 -C 4 divalent hydrocarbon group (typically alkylene)
  • YA is a substituent group having a lactone structure
  • ZA is hydrogen, or a C 1 -C 15 fluoroalkyl group or C 1 -C 15 fluoroalcohol-containing substituent group
  • k 1A is an integer of 1 to 3.
  • a polymer comprising recurring units of formula (2A) is decomposed under the action of an acid to generate carboxylic acid so that the polymer may become alkali soluble. While the acid labile group XA may be selected from a variety of such groups, it may be as exemplified above for R 5a in formulae (2a) to (2j).
  • the base polymer (B) may have further copolymerized therein any of sulfonium salts (f1) to (f3) represented by the following general formulae.
  • R 20 , R 24 and R 28 each are hydrogen or methyl.
  • R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , and R 31 are each independently a straight, branched or cyclic C 1 -C 12 alkyl group which may contain a carbonyl, ester or ether radical, or a C 6 -C 12 aryl group, C 7 -C 20 aralkyl group, or thiophenyl group.
  • M- is a non-nucleophilic counter ion.
  • the base polymer (B) may further comprise recurring units derived from carbon-to-carbon double bond-bearing monomers other than the above-described ones, for example, substituted acrylic acid esters such as methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate, unsaturated carboxylic acids such as maleic acid, fumaric acid, and itaconic acid, cyclic olefins such as norbornene, norbornene derivatives, and tetracyclo[4.4.0.1 2,5 .1 7,10 ]dodecene derivatives, unsaturated acid anhydrides such as itaconic anhydride, and other monomers.
  • substituted acrylic acid esters such as methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate
  • unsaturated carboxylic acids such as maleic acid, fumaric acid, and itaconic acid
  • cyclic olefins such as norbornene
  • an acid generator typically photoacid generator (PAG) is compounded.
  • PAG photoacid generator
  • the PAG may be any compound capable of generating an acid upon exposure of high-energy radiation.
  • Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators.
  • Exemplary acid generators are described in US 20090274978 ( JP-A 2009-269953 , paragraphs [0151] to [0156]).
  • the preferred PAGs are those compounds of the general formula (C)-1.
  • R 405 , R 406 , and R 407 are each independently hydrogen or a straight, branched or cyclic C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom, typically an alkyl or alkoxy group.
  • R 408 is a straight, branched or cyclic C 7 -C 30 monovalent hydrocarbon group which may contain a heteroatom.
  • acid generator (C)-1 Illustrative examples of acid generator (C)-1 are shown below, but not limited thereto.
  • an acid diffusion controlling function may be provided when two or more PAGs are used in admixture provided that one PAG is an onium salt capable of generating a weak acid.
  • a PAG capable of generating a strong acid e.g., fluorinated sulfonic acid
  • an onium salt capable of generating a weak acid e.g., non-fluorinated sulfonic acid or carboxylic acid
  • a salt exchange occurs whereby the weak acid is released and an onium salt having a strong acid anion is formed.
  • the strong acid is exchanged into the weak acid having a low catalysis, incurring apparent deactivation of the acid for enabling to control acid diffusion.
  • the PAG capable of generating a strong acid is also an onium salt
  • an exchange from the strong acid (generated upon exposure to high-energy radiation) to a weak acid as above can take place, but it never happens that the weak acid (generated upon exposure to high-energy radiation) collides with the unreacted onium salt capable of generating a strong acid to induce a salt exchange. This is because of a likelihood of an onium cation forming an ion pair with a stronger acid anion.
  • An appropriate amount of PAG added is 0.1 to 40 parts, and more preferably 0.1 to 20 parts by weight per 100 parts by weight of the base resin (B) in the composition. As long as PAG is up to 40 phr, the resulting resist film has a fully high transmittance and a minimal likelihood of degraded resolution.
  • the PAG may be used alone or in admixture of two or more.
  • the transmittance of the resist film can be controlled by using a PAG having a low transmittance at the exposure wavelength and adjusting the amount of the PAG added.
  • the resist composition may further comprise one or more of (D) an organic solvent, (E) a basic compound, (F) a dissolution regulator, (G) a surfactant, and (H) an acetylene alcohol derivative.
  • the organic solvent (D) used herein may be any organic solvent in which polymer P1, the base resin, PAG, and other components are soluble. Exemplary solvents are described in JP-A 2008-111103 , paragraph [0144].
  • the organic solvents may be used alone or in combinations of two or more thereof.
  • An appropriate amount of the organic solvent used is 200 to 10,000 parts, especially 400 to 7,000 parts by weight per 100 parts by weight of the base resin (B). It is recommended to use diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate (PGMEA), and mixtures thereof because the acid generator is most soluble therein.
  • nitrogen-containing organic compounds are preferred and may be used alone or in admixture. Those compounds capable of suppressing the rate of diffusion when the acid generated by the PAG diffuses within the resist film are useful. The inclusion of such quencher facilitates adjustment of resist sensitivity and holds down the rate of acid diffusion within the resist film, resulting in better resolution. In addition, it suppresses changes in sensitivity following exposure and mitigates substrate poisoning and environment dependence, as well as improving the exposure latitude and the pattern profile.
  • Suitable nitrogen-containing organic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having carboxyl group, nitrogen-containing compounds having sulfonyl group, nitrogen-containing compounds having hydroxyl group, nitrogen-containing compounds having hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide, imide and carbamate derivatives.
  • Illustrative examples are described in JP-A 2009-269953 , paragraphs [0122] to [0141].
  • the basic compound is preferably used in an amount of 0.001 to 8 parts, more preferably 0.01 to 4 parts by weight per 100 parts by weight of the base resin (B). Less than 0.001 phr fails to achieve the desired addition effect whereas more than 8 phr may lead to a lowering of sensitivity.
  • the preferred nitrogen-containing organic compound is a compound capable of holding down the diffusion rate of acid when the acid generated by the acid generator diffuses in the resist film. The inclusion of the nitrogen-containing organic compound holds down the diffusion rate of acid in the resist film, which leads to many advantages including improved resolution, minimized sensitivity change following exposure, reduced substrate poisoning and environment dependency, and improved exposure latitude and pattern profile.
  • the dissolution regulator or inhibitor (F) which can be added to the resist composition is a compound having on the molecule at least two phenolic hydroxyl groups which are protected with an acid labile group, or a compound having on the molecule at least one carboxyl group which is protected with an acid labile group.
  • Exemplary regulators are described in JP-A 2008-122932 , paragraphs [0155] to [0178].
  • the dissolution regulator is preferably used in an amount of 0 to 40 parts, more preferably 5 to 30 parts by weight per 100 parts by weight of the base resin (B).
  • the resist composition may further comprise (G) a surfactant which is commonly used for facilitating the coating operation.
  • a surfactant which is commonly used for facilitating the coating operation.
  • Exemplary surfactants are described in JP-A 2008-111103 , paragraph [0166].
  • the resist composition may further comprise (H) an acetylene alcohol derivative.
  • H an acetylene alcohol derivative.
  • Exemplary compounds are described in JP-A 2008-122932 , paragraphs [0180] to [0181].
  • the resist composition may further comprise (I) a fluorinated alcohol.
  • a fluorinated alcohol may be added to the resist composition for suppressing the hydrolysis which is otherwise promoted by the basic compound (E), thus enhancing storage stability.
  • fluorinated alcohol examples include, but are not limited to, 2,2,2-trifluoroethanol, 2,2,3,3-tetrafluoro-1-propanol, 1,3-difluoro-2-propanol, 1,1,1,3,3,3-hexafluoro-2-propanol, 1,1,1,3,3,3-hexafluoro-2-trifluoromethyl-2-propanol, 2,2,3,4,4,4-hexafluoro-1-butanol, 2,2,2,2',2',2'-hexafluorocumylalcohol, and 2,2,3,3,4,4,5,5-octafluoro-1-pentanol.
  • the fluorinated alcohol (I) is preferably used in an amount of 0.01 to 10 parts, more preferably 0.01 to 5 parts by weight per part by weight of the basic compound (E).
  • a pattern may be formed from the resist composition using any well-known lithography process.
  • the preferred process includes at least the steps of forming a resist film on a substrate, exposing it to high-energy radiation, and developing it with a developer.
  • the resist composition is applied onto a substrate, typically a silicon wafer by a suitable coating technique such as spin coating.
  • the coating is prebaked on a hot plate at a temperature of 60 to 150°C for 1 to 10 minutes, preferably 80 to 140°C for 1 to 5 minutes, to form a resist film of 0.01 to 2.0 ⁇ m thick. It is noted in conjunction with spin coating that if the resist composition is coated onto the surface of a substrate which has been wetted with the resist solvent or a solution miscible with the resist solvent, then the amount of the resist composition dispensed can be reduced (see JP-A H09-246173 ).
  • a mask having the desired pattern is then placed over the resist film, and the film exposed through the mask to an electron beam or to high-energy radiation such as deep-UV, excimer laser or x-ray in a dose of 1 to 200 mJ/cm 2 , and preferably 10 to 100 mJ/cm 2 .
  • the high-energy radiation used herein preferably has a wavelength in the range of 180 to 250 nm.
  • Light exposure may be dry exposure in air or nitrogen atmosphere, or immersion lithography of providing a liquid, typically water between the resist film and the projection lens.
  • the liquid used for immersion is a liquid having a refractive index of at least 1 and high transparency at the exposure wavelength, such as water or alkane.
  • EB or EUV exposure in vacuum is also acceptable.
  • the resist film formed from the resist composition has such barrier properties against water that it may inhibit resist components from being leached out in water and as a consequence, eliminate a need for a protective coating in the immersion lithography and reduce the cost associated with protective coating formation and removal.
  • the resist film has so high a receding contact angle with water that few liquid droplets may be left on the surface of the resist film after immersion lithography scanning, minimizing pattern formation failures induced by liquid droplets left on the film surface.
  • a protective coating may be formed on top of the resist film.
  • the resist protective coating may be either of the solvent stripping type or of the developer dissolution type.
  • a resist protective coating of the developer dissolution type is advantageous for process simplicity because it can be stripped during development of a resist film.
  • the resist protective coating used in the immersion lithography may be formed from a coating solution, for example, a topcoat solution of a polymer having acidic units such as 1,1,1,3,3,3-hexafluoro-2-hydroxy-2-propyl, carboxyl or sulfo groups which is insoluble in water and soluble in an alkaline developer liquid, in a solvent selected from alcohols of at least 4 carbon atoms, ethers of 8 to 12 carbon atoms, and mixtures thereof.
  • the resist protective coating is not limited thereto.
  • the resist protective coating may be formed by spin coating a topcoat solution onto a prebaked resist film, and prebaking on a hot plate at 50 to 150°C for 1 to 10 minutes, preferably at 70 to 140°C for 1 to 5 minutes.
  • the protective coating has a thickness in the range of 10 to 500 nm.
  • the amount of the protective coating material dispensed in forming a protective coating by spin coating may be reduced by previously wetting the resist film surface with a suitable solvent and applying the protective coating material thereto.
  • the resist film is baked (PEB) on a hot plate at 60 to 150°C for 1 to 5 minutes, and preferably at 80 to 140°C for 1 to 3 minutes.
  • the water on the protective coating should be dried or recovered by suitable means, for example, spin drying, purging the protective coating surface with dry air or nitrogen, or optimizing the shape of a water recovery nozzle on the relevant stage or a water recovery process.
  • TMAH tetramethylammonium hydroxide
  • the developer may have a concentration of 0.1 to 5 wt%, preferably 2 to 3 wt%.
  • a typical developer is a 2.38 wt% TMAH aqueous solution.
  • the development time is 10 to 300 seconds, and preferably 0.5 to 2 minutes. These steps result in the formation of the desired pattern on the substrate.
  • a resist solution is prepared by adding polymer P1 to a base resin and dissolving them in an organic solvent.
  • the resist solution is coated on a mask blank substrate of SiO 2 , Cr, CrO, CrN, MoSi or the like.
  • a SOG film and an organic undercoat film may intervene between the resist film and the blank substrate to construct a three-layer structure which is also acceptable herein.
  • Base polymers which can be used herein include copolymers of hydroxystyrene with one or more of (meth)acrylic derivatives, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, and norbornadiene derivatives.
  • the structure is exposed to EB in vacuum using an EB image-writing system.
  • the exposure is followed by baking (PEB) and development in an alkaline developer for 10 to 300 seconds, thereby forming a pattern.
  • Mw and Mn are weight and number average molecular weights, respectively, as measured versus polystyrene standards by gel permeation chromatography (GPC) using tetrahydrofuran as solvent, and Mw/Mn is a polydispersity index.
  • a flask was charged with 15.0 g of ethylene glycol methacrylate [3,3,3-trifluoro-2-hydroxy-2-(trifluoromethyl)propionate], 0.53 g of dimethyl 2,2'-azobis(isobutyrate), and 15.0 g of methyl ethyl ketone to form a monomer solution at a temperature of 20-25°C.
  • another flask was charged with 7.50 g of methyl ethyl ketone, which was heated at 80°C with stirring. The monomer solution was added dropwise thereto over 4 hours. After the completion of dropwise addition, the polymerization solution was stirred for a further 2 hours while maintaining the temperature of 80°C.
  • the solution was cooled to room temperature.
  • the polymerization solution was transferred to an eggplant-shape flask and concentrated using an evaporator. Then toluene was added to the flask so as to eventually form a 40 wt% solution of toluene/methyl ethyl ketone (mix ratio 9/1).
  • the solution was added dropwise to 150 g of hexane whereupon a copolymer precipitated.
  • the copolymer was collected by filtration, washed with 90 g of hexane, and separated as a white solid.
  • the white solid was vacuum dried at 50°C for 20 hours, yielding the target polymer, designated Polymer 1, in white powder solid form. Amount 12.7 g, yield 80%.
  • Polymers 2 to 22 and Comparative Polymers 1 to 3 were synthesized as in Synthesis Example 1-1 aside from changing the amount and type of monomers. It is noted that the values of c, d, e and f are molar ratios of monomer units.
  • a resist solution was prepared by combining an additive polymer (Polymers 1 to 22 and Comparative Polymers 1 to 3), a base resin (Resist Polymers 1 and 2), an acid generator, a basic compound, and a solvent in accordance with the formulation shown in Table 1, mixing and dissolving the components, and filtering through a Teflon® filter having a pore size of 0.2 ⁇ m.
  • the solvent contained 0.01 wt% of a surfactant KH-20 (Asahi Chemical Industry Co., Ltd.).
  • inventive resist compositions R-01 to R-30 and comparative resist compositions R-31 to R-34 were obtained.
  • An antireflective coating ARC-29A (Nissan Chemical Co., Ltd.) was deposited on a silicon substrate to a thickness of 87 nm.
  • the resist solution was applied onto the ARC and baked at 120°C for 60 seconds to form a resist film of 150 nm thick.
  • a contact angle with water of the resist film was measured, using an inclination contact angle meter Drop Master 500 by Kyowa Interface Science Co., Ltd. Specifically, the wafer covered with the resist film was kept horizontal, and 50 ⁇ L of pure water was dropped on the resist film to form a droplet. While the wafer was gradually inclined, the angle (sliding angle) at which the droplet started sliding down was determined as well as receding contact angle. The results are shown in Table 2.
  • a smaller sliding angle indicates an easier flow of water on the resist film.
  • a larger receding contact angle indicates that fewer liquid droplets are left during high-speed scan exposure. It is demonstrated in Table 2 that the inclusion of the additive polymer of the invention in a resist solution achieves a drastic improvement in the receding contact angle of resist film without adversely affecting the sliding angle, as compared with those resist films free of the additive polymer.
  • the resist film-bearing wafer (prepared above) was irradiated through an open frame at an energy dose of 50 mJ/cm 2 using an ArF scanner S305B (Nikon Corp.). Then a true circle ring of Teflon® having an inner diameter of 10 cm was placed on the resist film, 10 mL of pure water was carefully injected inside the ring, and the resist film was kept in contact with water at room temperature for 60 seconds. Thereafter, the water was recovered, and a concentration of photoacid generator (PAG1) anion in the water was measured by an LC-MS analyzer (Agilent). The results are also shown in Table 2.
  • PAG1 photoacid generator
  • the resist film-bearing wafer (prepared above) was exposed by means of an ArF scanner model S307E (Nikon Corp., NA 0.85, ⁇ 0.93, 4/5 annular illumination, 6% halftone phase shift mask), rinsed for 5 minutes while splashing pure water, baked (PEB) at 110°C for 60 seconds, and developed with a 2.38 wt% TMAH aqueous solution for 60 seconds, forming a 75-nm line-and-space pattern.
  • the wafer was sectioned, and the profile and sensitivity of the 75-nm line-and-space pattern were evaluated. The results are also shown in Table 2.
  • the resist film having the additive polymer according to the invention formulated therein formed a pattern of rectangular profile, in stark contrast with the resist film free of the additive polymer forming a pattern of T-top profile.
  • An antireflective coating ARC-29A (Nissan Chemical Co., Ltd.) of 95 nm thick was deposited on a silicon substrate.
  • the resist solution was applied onto the ARC and baked at 120°C for 60 seconds to form a resist film of 150 nm thick.
  • an ArF scanner model S610C (Nikon Corp., NA 1.20, ⁇ 0.98, 4/5 dipole illumination (open angle 35°), binary mask)
  • the resist film on the wafer was exposed at a scan speed of 500 mm/s. This was followed by baking (PEB) at 110°C for 60 seconds and development with a 2.38 wt% TMAH aqueous solution for 30 seconds.
  • the wafer as developed was further baked at 110°C for 60 seconds, completing a 45-nm line-and-space pattern.
  • the “bridge defect” is formed by the mechanism that foreign matter deposits in a space to form a bridge between adjacent lines.
  • the "watermark defect” is characterized in that the pattern is waved and the waved portion covers several lines in a circular fashion. It is believed that the watermark defect is caused by a residual water droplet from the immersion water. The watermark defect tends to form when the resist film surface is short of water repellency.
  • FIGS. 1 and 2 are SEM images of bridge defect and watermark defect, respectively. The counts of bridge defects and watermark defects are reported in Table 3. Table 3 Resist Bridge defects (count) Watermark defects (count) Example 3-1 R-06 8 9 3-2 R-07 5 3 3-3 R-08 2 2 3-4 R-23 3 2 3-5 R-27 3 5 3-6 R-28 2 3 Comparative Example 3-1 R-31 9 33 3-2 R-32 25 21

Abstract

A polymer having a partial structure -C(CF3)2OH in recurring units is used as an additive to formulate a resist composition. A photoresist film formed from the resist composition has sufficient barrier performance against water to prevent any resist components from being leached in water and thus minimize any change of pattern profile.

Description

  • This invention relates to a resist composition for use in a photolithography process for the microfabrication of semiconductor devices, and particularly an immersion photolithography process involving directing ArF excimer laser radiation of wavelength 193 nm toward a resist-coated substrate, with water held between a projection lens and the substrate, and a process for forming a resist pattern.
  • BACKGROUND
  • In the recent drive for higher integration densities and operating speeds in LSI devices, the pattern rule is made drastically finer. The background supporting such a rapid advance is a reduced wavelength of the light source for exposure. The change-over from i-line (365 nm) of a mercury lamp to shorter wavelength KrF excimer laser (248 nm) enabled mass-scale production of dynamic random access memories (DRAM) with an integration degree of 64 MB (processing feature size ≤ 0.25 µm). To establish the micropatterning technology necessary for the fabrication of DRAM with an integration degree of 256 MB and 1 GB or more, the lithography using ArF excimer laser (193 nm) is under active investigation. The ArF excimer laser lithography, combined with a high NA lens (NA ≥ 0.9), is considered to comply with 65-nm node devices. For the fabrication of next 45-nm node devices, the F2 laser lithography of 157 nm wavelength became a candidate. However, because of many problems including a cost and a shortage of resist performance, the employment of F2 lithography was postponed. ArF immersion lithography was proposed as a substitute for the F2 lithography (see Proc. SPIE Vol. 4690, xxix, 2002).
  • In the ArF immersion lithography, the space between the projection lens and the wafer is filled with water and ArF excimer laser is irradiated through the water. Since water has a refractive index of 1.44 at 193 nm, pattern formation is possible even using a lens with NA of 1.0 or greater. The theoretically possible maximum NA is 1.44. The resolution is improved by an increment of NA. A combination of a lens having NA of at least 1.2 with ultra-high resolution technology suggests a way to the 45-nm node (see Proc. SPIE Vol. 5040, p724, 2003).
  • The ArF immersion lithography has a possibility that water-soluble components in the resist film be leached in immersion water during exposure. Specifically an acid generated during exposure and a basic compound previously added to the resist material can be leached in immersion water. As a result, pattern profile changes and pattern collapse can occur. It is also pointed out that if the resist film is less water repellent, water droplets remaining on the resist film after scanning, though in a minute volume, can penetrate into the resist film to generate defects. It was then proposed to provide a protective coating between the resist film and water to prevent resist components from being leached out and water from penetrating into the resist film, the process being referred to as "topcoat process." See 2nd Immersion Workshop: Resist and Cover Material Investigation for Immersion Lithography, 2003.
  • In the ArF immersion lithography using a topcoat, a protective coating material which is soluble in alkaline developer is advantageous. This eliminates the step of stripping off the protective coating, offering great cost and process merits. Thus, great efforts have been devoted to develop water-insoluble resist protective coating materials, for example, resins having alkali-soluble units such as fluorinated alcohol, carboxyl or sulfo groups. See WO 2005/42453 and WO 2005/69676 .
  • On the other hand, a process for preventing resist components from being leached out and water from penetrating into the resist film without a need for a protective coating material has also been developed, the process being referred to as "topcoatless process". See JP-A 2006-48029 , JP-A 2006-309245 , and JP-A 2007-187887 . In the topcoatless process, an alkali-soluble hydrophobic polymer is added to the resist material as a surfactant, whereupon the hydrophobic compound is segregated at the resist surface during resist film formation. The process is thus expected to achieve equivalent effects to the use of resist protective coating material. Additionally, the process is economically advantageous over the use of a resist protective film because steps of forming and removing the protective film are unnecessary.
  • In either of the topcoat and topcoatless processes, the ArF immersion lithography requires a scanning speed of about 300 to 700 mm/sec in order to gain higher throughputs. In the event of such high-speed scanning, if the water repellency of the resist or protective film is insufficient, water droplets may be left on the film surface after scanning. Residual droplets may cause defects. To eliminate such defects, it is necessary to improve the water repellency of the relevant coating film and the flow or mobility of water (hereinafter, water slip) on the film. The film material must be designed so as to increase the receding contact angle (see 2nd International Symposium on Immersion Lithography, 12-15 Sept., 2005, Defectivity data taken with a full-field immersion exposure tool, Nakano et al). In connection with such polymer design, it is reported that introduction of fluorine is effective for improving water repellency, and formation of micro-domain structure by a combination of different water repellent groups is effective for improving water slip. See XXIV FATIPEC Congress Book, Vol. B, p15 (1997).
  • One exemplary material known to have excellent water slip and water repellency on film surface is a copolymer of a-trifluoromethylacrylate and norbornene derivative (Proc. SPIE Vol. 4690, p18, 2002). While this polymer was developed as the resin for F2 (157 nm) lithography resist materials, it is characterized by a regular arrangement of molecules of (highly water repellent) α-trifluoromethylacrylate and norbornene derivative in a ratio of 2:1. When a water molecule interacts with methyl and trifluoromethyl groups, there is a tendency that the orientation distance between water and methyl is longer. A resin having a regular arrangement of both substituent groups is improved in water slip because of a longer orientation distance of water. In fact, when this polymer is used as the base polymer in a protective coating for immersion lithography, water slip is drastically improved (see US 20070122736 or JP-A 2007-140446 ). Another example of the highly water repellent/water slippery material is a fluorinated ring-closing polymerization polymer having hexafluoroalcohol groups on side chains. This polymer is further improved in water slip by protecting hydroxyl groups on side chains with acid labile groups, as reported in Proc. SPIE Vol. 6519, p651905 (2007).
  • Although the introduction of fluorine into resins is effective for improving water repellency and water slip, the introduction of extra fluorine can induce new defects known as "blob defects". Blob defects are likely to form during spin drying after development, particularly when the film has a high surface contact angle after development. One approach for suppressing blob defects is by introducing highly hydrophilic substituent groups (e.g., carboxyl or sulfo groups) into a resin to reduce the surface contact angle after development. However, since these groups serve to reduce the water repellency and water slip of the resin, this approach is not applicable to high-speed scanning. There is a desire to have a material which can minimize blob defects while maintaining highly water repellent and water slip properties during immersion lithography.
  • The highly water repellent/water slippery materials discussed above are expected to be applied not only to the ArF immersion lithography, but also to the resist material for mask blanks. Resist materials for mask blanks are subject to long-term exposure in vacuum. It is pointed out that sensitivity variations or profile changes can occur as an amine component in the resist material is adsorbed to the resist film surface during the long-term exposure. It was then proposed to add a compound having surface active effect to modify the surface of a resist film for preventing adsorption of amine to the resist film.
  • Citation List
  • Patent Document 1:
    WO 2005/42453
    Patent Document 2:
    WO 2005/69676
    Patent Document 3:
    JP-A 2006-048029
    Patent Document 4:
    JP-A 2006-309245
    Patent Document 5:
    JP-A 2007-187887
    Patent Document 6:
    US 20070122736 ( JP-A 2007-140446 )
    Non-Patent Document 1:
    Proc. SPIE Vol. 4690, xxix (2002)
    Non-Patent Document 2:
    Proc. SPIE Vol. 5040, p724 (2003)
    Non-Patent Document 3:
    2nd Immersion Workshop: Resist and Cover Material Investigation for Immersion Lithography (2003)
    Non-Patent Document 4:
    2nd International Symposium on Immersion Lithography, 12-15 Sept., 2005, Defectivity data taken with a full-field immersion exposure tool, Nakano et al.
    Non-Patent Document 5:
    XXIV FATIPEC Congress Book, Vol. B, p15 (1997)
    Non-Patent Document 6:
    Proc. SPIE Vol. 4690, p18 (2002)
    Non-Patent Document 7:
    Proc. SPIE Vol. 6519, p651905 (2007)
  • An object herein is to provide new and useful resist compositions, especially chemically amplified positive resist compositions, which exhibit water repellency, water slip and minimal development defects, and pattern-forming processes using the compositions. The proposed solution involves the use of an additive polymer. The additive polymers proposed herein are found highly transparent to radiation with wavelength of up to 200 nm. Various properties of the polymer including water repellency, water slip, fat solubility, acid lability, and hydrolysis may be adjusted by a choice of polymer structure. The polymer can be prepared from reactants which are readily available and easy to handle.
  • The inventors have found that when a polymer having a fluorinated alcohol of specific structure, specifically a partial structure -C(CF3)2OH in recurring units is used as an additive to formulate a resist composition, the resist composition forms a resist film which has sufficient water repellency and water slip to withstand high-speed scanning without a need for a resist protective film.
  • Accordingly, the present invention provides a resist composition and a pattern forming process, as defined below.
  • In a one aspect, the invention provides a resist composition comprising (A) a polymer comprising recurring units of the following general formula (1a), (B) base resin polymer, other than (A), adapted (e.g. in well-known manner) to become soluble in alkaline developer under the action of acid produced by component (C) below, and preferably having a lactone ring-derived structure, hydroxyl-containing structure and/or maleic anhydride-derived structure, (C) a compound capable of generating an acid upon exposure to high-energy radiation, and (D) an organic solvent.
    Figure imgb0001
  • Herein R1 is hydrogen or a straight, branched or cyclic C1-C20 monovalent hydrocarbon group in which a constituent moiety -CH2- may be replaced by -O- or -C(=O)-, R2 is hydrogen, fluorine, methyl or trifluoromethyl, Aa is a straight, branched or cyclic C1-C20 hydrocarbon or fluorinated hydrocarbon group having a valence of k1+1, Ab is a straight, branched or cyclic C1-C6 divalent hydrocarbon group, k1 is an integer of 1 to 3, and k2 is 0 or 1.
  • In a preferred embodiment, the additive polymer (A) comprises recurring units of formula (1a) and recurring units of one or more type selected from the general formulae (2a) to (2j).
    Figure imgb0002
    Figure imgb0003
    Figure imgb0004
  • Herein R2 is as defined above, R4a and R4b are each independently hydrogen or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, or R4a and R4b may bond together to form a non-aromatic ring of 3 to 8 carbon atoms with the carbon atom to which they are attached, R5a is hydrogen, a straight, branched or cyclic C1-C15 monovalent hydrocarbon or fluorinated hydrocarbon group, or an acid labile group, in the case of hydrocarbon group, a constituent moiety -CH2- may be replaced by -O- or -C(=O)- , R6a , R6b and R6c are each independently hydrogen, or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, R6a and R6b , R6a and R6c , or R6b and R6c may bond together to form a non-aromatic ring of 3 to 8 carbon atoms with the carbon atom to which they are attached, R7a is hydrogen, or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, R7b is a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, R7a and R7b may bond together to form a non-aromatic ring of 3 to 8 carbon atoms with the carbon atom to which they are attached, R8a , R8b and R8c are each independently a straight, branched or cyclic C1-C15 monovalent fluorinated hydrocarbon group, R9a is a straight, branched or cyclic C1-C15 monovalent hydrocarbon or fluorinated hydrocarbon group, and k2 is 0 or 1.
  • In a preferred embodiment, the base polymer (B) is selected from the group consisting of (meth)acrylate polymers, (α-trifluoromethyl)acrylate-maleic anhydride copolymers, cycloolefin-maleic anhydride copolymers, polynorbornene, polymers resulting from ring-opening metathesis polymerization of cycloolefins, hydrogenated polymers resulting from ring-opening metathesis polymerization of cycloolefins, copolymers of hydroxystyrene with (meth)acrylate, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, or norbornadiene derivatives, and novolac resins.
  • The base polymer (B) may comprise recurring units of at least one type selected from the general formulae (2A) to (2D):
    Figure imgb0005
    wherein R1A is hydrogen, fluorine, methyl or trifluoromethyl, XA is an acid labile group, XB and XC are each independently a single bond or a straight or branched C1-C4 divalent hydrocarbon group, YA is a substituent group having a lactone structure, ZA is hydrogen, a C1-C15 fluoroalkyl group or C1-C15 fluoroalcohol-containing substituent group, and k1A is an integer of 1 to 3.
  • In a preferred embodiment, the polymer (A) comprising recurring units of formula (1a) is added in an amount of 0.1 to 50 parts by weight per 100 parts by weight of the polymer (B).
  • The resist composition may further comprise (E) a basic compound and/or (F) a dissolution regulator.
  • In another aspect, the invention provides:
    • a pattern forming process comprising the steps of (1) applying the resist composition defined above onto a substrate, (2) heat treating and exposing the resulting resist film to high-energy radiation through a photomask, and (3) developing with a developer;
    • a pattern forming process comprising the steps of (1) applying the resist composition defined above onto a substrate, (2) heat treating and exposing the resulting resist film to high-energy radiation from a projection lens through a photomask while holding a liquid between the substrate and the projection lens, and (3) developing with a developer; or
    • a pattern forming process comprising the steps of (1) applying the resist composition defined above onto a substrate to form a resist film, (2) forming a protective coating onto the resist film, (3) heat treating and exposing the resist film to high-energy radiation from a projection lens through a photomask while holding a liquid between the substrate and the projection lens, and (4) developing with a developer.
  • Typically, the liquid is water. The preferred high-energy radiation has a wavelength in the range of 180 to 250 nm.
  • In a further aspect, the invention provides a pattern forming process comprising the steps of (1) applying the resist composition defined above onto a mask blank, (2) heat treating and exposing the resulting resist film in vacuum to electron beam, and (3) developing with a developer.
  • ADVANTAGEOUS EFFECTS
  • We find that photoresist films formed from the present resist compositions have sufficient barrier performance against water to prevent any resist components from being leached in water and thus minimize any change of pattern profile due to leach-out. Absent a need for a protective film which is commonly formed in the immersion lithography to prevent leach-out, the invention saves the cost for protective film formation.
  • The photoresist film has a high receding contact angle with water, allows few water droplets to be left on the photoresist film surface after scanning in the immersion lithography process, and thus minimizes a pattern formation failure caused by residual droplets on the film surface. The use of the resist compositions disclosed herein can reduce the cost of the immersion lithography process and enable formation of a fine size pattern with few defects at a high accuracy.
  • BRIEF DESCRIPTION OF DRAWINGS
    • FIG. 1 is a SEM image showing a bridge defect on a resist pattern.
    • FIG. 2 is a SEM image showing a watermark defect on a resist pattern.
    FURTHER EXPLANATIONS; OPTIONS AND PREFERENCES
  • The singular forms "a", "an" and "the" include plural referents unless the context clearly dictates otherwise. The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. The abbreviation PAG stands for photoacid generator, PEB for post-exposure bake, EB for electron beam, EUV for extreme ultraviolet. The abbreviation "phr" is parts by weight per 100 parts by weight of the base resin.
  • While a certain compound is herein represented by a chemical formula, many compounds have a chemical structure for which there can exist enantiomers or diastereomers. Each chemical formula collectively represents all such stereoisomers, unless otherwise stated. Such stereoisomers may be used alone or in admixture.
  • Additive Polymer
  • The polymer used as an additive in the resist composition of the invention is characterized by comprising recurring units having the general formula (1a). For convenience of description, the polymer comprising recurring units of formula (1a) is referred to as "polymer P1," hereinafter.
    Figure imgb0006
  • Herein R1 is hydrogen or a straight, branched or cyclic C1-C20 monovalent hydrocarbon group in which a constituent moiety -CH2- may be replaced by -O- or -C(=O)-, R2 is hydrogen, fluorine, methyl or trifluoromethyl, Aa is a straight, branched or cyclic C1-C20 hydrocarbon or fluorinated hydrocarbon group having a valence of k1+1, Ab is a straight, branched or cyclic C1-C6 divalent hydrocarbon group, k1 is an integer of 1 to 3, and k2 is 0 or 1.
  • Polymer P1 is characterized in that the recurring units of formula (1a) each contain a plurality of fluorine atoms. Once polymer P1 is added to a resist composition, polymer P1 itself functions as a surfactant to provide a distribution at the time when a resist film is formed, that polymer P1 is segregated at the resist film surface.
  • In general, fluorinated polymers exert excellent functions of water repellency and water slip. When polymer P1 is used as a resist additive, it is possible to form a resist film having a surface exerting excellent water repellency and water slip at the same time as its formation. An effect equivalent to the use of resist protective coating material is expectable. This approach is also advantageous in cost because it eliminates the steps of forming and removing a resist protective coating.
  • In formula (1a), the monovalent hydrocarbon groups represented by R1 may include protecting groups for alcoholic hydroxyl group, specifically groups having the general formula (R1-1) and (R1-2), tertiary alkyl groups of 4 to 15 carbon atoms, trialkylsilyl groups in which each alkyl moiety has 1 to 5 carbon atoms, oxoalkyl groups of 4 to 15 carbon atoms, and acyl groups of 1 to 10 carbon atoms.
    Figure imgb0007
  • Herein and throughout the specification, the broken line designates a valence bond. RL01 and RL02 are each independently hydrogen or a straight, branched or cyclic alkyl group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms. Examples include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, n-octyl, norbornyl, tricyclodecanyl, tetracyclododecanyl, and adamantyl. RL03 is a monovalent hydrocarbon group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, which may contain a heteroatom such as oxygen, examples of which include straight, branched or cyclic alkyl groups and substituted forms of such alkyl groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, oxo, amino, alkylamino or the like. Examples of the substituted alkyl groups are as shown below.
    Figure imgb0008
    Figure imgb0009
  • A pair of RL01 and RL02, RL01 and RL03, or RL02 and RL03 may bond together to form a ring with carbon and oxygen atoms to which they are attached. Each of ring-forming RL01 , RL02 and RL03 is a straight or branched alkylene group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms when they form a ring.
  • In formula (R1- 2), RL04 is a tertiary alkyl group of 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, a trialkylsilyl group in which each alkyl moiety has 1 to 6 carbon atoms, an oxoalkyl group of 4 to 20 carbon atoms, or a group of formula (R1-1). The subscript y is an integer of 0 to 6.
  • Suitable groups of R1 and RL04 are illustrated below. Exemplary tertiary alkyl groups include tert-butyl, tert-amyl, 1,1-diethylpropyl, 2-cyclopentylpropan-2-yl, 2-cyclohexylpropan-2-yl, 2-(bicyclo[2.2.1]heptan-2-yl)propan-2-yl, 2-(adamantan-1-yl)propan-2-yl, 1-ethylcyclopentyl, 1-butylcyclopentyl, 1-ethylcyclohexyl, 1-butylcyclohexyl, 1-ethyl-2-cyclopentenyl, 1-ethyl-2-cyclohexenyl, 2-methyl-2-adamantyl, 2-ethyl-2-adamantyl, and the like. Exemplary trialkylsilyl groups are trimethylsilyl, triethylsilyl, and dimethyl-tert-butylsilyl. Exemplary oxoalkyl groups are 3-oxocyclohexyl, 4-methyl-2-oxooxan-4-yl, and 5-methyl-2-oxooxolan-5-yl. Examples of the acyl group include formyl, acetyl, ethylcarbonyl, pivaloyl, methoxycarbonyl, ethoxycarbonyl, tert-butoxycarbonyl, trifluoroacetyl, and trichloroacetyl.
  • Of the protective groups of formula (R1-1), the straight or branched groups are exemplified by the following.
    Figure imgb0010
    Figure imgb0011
    Figure imgb0012
    Figure imgb0013
    Figure imgb0014
    Figure imgb0015
  • Of the protective groups of formula (R1-1), the cyclic ones are, for example, tetrahydrofuran-2-yl, 2-methyltetrahydrofuran-2-yl, tetrahydropyran-2-yl, and 2-methyltetrahydropyran-2-yl.
  • Examples of the protective groups of formula (R1-2) include tert-butoxycarbonyl, tert-butoxycarbonylmethyl, tert-amyloxycarbonyl, tert-amyloxycarbonylmethyl, 1,1-diethylpropyloxycarbonyl,
    1,1-diethylpropyloxycarbonylmethyl,
    1-ethylcyclopentyloxycarbonyl,
    1-ethylcyclopentyloxycarbonylmethyl,
    1-ethyl-2-cyclopentenyloxycarbonyl,
    1-ethyl-2-cyclopentenyloxycarbonylmethyl, 1-ethoxyethoxycarbonylmethyl,
    2-tetrahydropyranyloxycarbonylmethyl, and 2-tetrahydrofuranyloxycarbonylmethyl.
  • Referring back to formula (1a), Aa is any of straight, branched and cyclic C1-C20 hydrocarbon, optionally being fluorinated hydrocarbon group, having a valence of k1+1. Examples of the C1-C20 hydrocarbon group are shown below.
    Figure imgb0016
    Figure imgb0017
    Figure imgb0018
    Figure imgb0019
    Figure imgb0020
    Figure imgb0021
    Figure imgb0022
  • Examples of the C1-C20 fluorinated hydrocarbon group include fluorinated forms of the foregoing in which some or all hydrogen atoms are replaced by fluorine atoms.
  • Ab is any of straight, branched and cyclic C1-C6 divalent hydrocarbon group, examples being shown below.
    Figure imgb0023
    Figure imgb0024
    Figure imgb0025
    Figure imgb0026
  • Illustrative, non-limiting examples of the recurring units having formula (1a) are shown below.
    Figure imgb0027
    Figure imgb0028
    Figure imgb0029
    Figure imgb0030
    Figure imgb0031
    Figure imgb0032
    Figure imgb0033
    Figure imgb0034
    Figure imgb0035
    Figure imgb0036
    Figure imgb0037
    Figure imgb0038
    Figure imgb0039
    Figure imgb0040
    Figure imgb0041
    Figure imgb0042
    Figure imgb0043
  • Herein R2 is as defined above, and Me is methyl.
  • In addition to the recurring units of formula (1a), the additive polymer P1 may further comprise recurring units of one or multiple types selected from the general formulae (2a) to (2j). The polymer having additional recurring units incorporated herein can be better in water repellency, water slip, alkaline dissolution, and contact angle after development. The suitable amount of units of formula (1a) is not strictly determined, but preferably is at least 10mol% of monomer units in polymer P1.
    Figure imgb0044
    Figure imgb0045
    Figure imgb0046
  • Herein R2 is as defined above. R4a and R4b are each independently hydrogen or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, or R4a and R4b may bond together to form a C3-C8 non-aromatic ring with the carbon atom to which they are attached. R5a is hydrogen, a straight, branched or cyclic C1-C15 monovalent hydrocarbon or fluorinated hydrocarbon group, or an acid labile group. In the case of monovalent hydrocarbon group, a constituent moiety -CH2- may be replaced by -O- or -C(=O)-. R6a, R6b and R6c are each independently hydrogen or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, or R6a and R6b, R6a and R6c, or R6b and R6c may bond together to form a C3-C8 non-aromatic ring with the carbon atom to which they are attached. R7a is hydrogen or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, R7b is a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, or R7a and R7b may bond together to form a C3-C8 non-aromatic ring with the carbon atom to which they are attached. R8a, R8b, and R8c are each independently a straight, branched or cyclic C1-C15 monovalent fluorinated hydrocarbon group. R9a is a straight, branched or cyclic C1-C15 monovalent hydrocarbon or fluorinated hydrocarbon group. The subscript k2 is 0 or 1.
  • With respect to R4a, R4b, R5a, R6a, R6b, R6c, R7a, R7b, and R9a, suitable straight, branched or cyclic C1-C15 alkyl groups include methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, and adamantyl. A pair of R4a and R4b, R6a and R6b, R6a and R6c, R6b and R6c, or R7a and R7b may bond together to form a C3-C8 non-aromatic ring with the carbon atom to which they are attached. In the event of cyclization, each R is an alkylene group corresponding to the foregoing alkyl groups with one hydrogen atom eliminated therefrom, and exemplary rings are cyclopentyl and cyclohexyl.
  • R5a, R8a, R8b, R8c, and R9a stand for straight, branched or cyclic C1-C15 monovalent fluorinated hydrocarbon groups, specifically fluoroalkyl groups which are typically substituted forms of the foregoing alkyl groups in which some or all hydrogen atoms are substituted by fluorine atoms. Examples include, but are not limited to, trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoroheptyl, 2-(perfluorobutyl)ethyl, 2-(perfluorohexyl)ethyl, 2-(perfluorooctyl)ethyl, 2-(perfluorodecyl)ethyl, and 3,3,4,4,5,5,6,6,6-nonafluorohexyl. Examples of the straight, branched or cyclic C1-C10 fluoroalkyl group represented by R8a include trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoroheptyl, 2-(perfluorobutyl)ethyl, 2-(perfluorohexyl)ethyl, 2-(perfluorooctyl)ethyl, and 3,3,4,4,5,5,6,6,6-nonafluorohexyl.
  • The acid labile group represented by R5a may be selected from a variety of such groups. Examples of the acid labile group are groups of the following general formulae (L1) to (L4), tertiary alkyl groups of 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, trialkylsilyl groups in which each alkyl moiety has 1 to 6 carbon atoms, and oxoalkyl groups of 4 to 20 carbon atoms.
    Figure imgb0047
  • Herein RL01 and RL02 are each independently hydrogen or a straight, branched or cyclic alkyl group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms. RL03 is a monovalent hydrocarbon group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, which may contain a heteroatom such as oxygen, examples of which include straight, branched or cyclic alkyl groups and substituted forms of such alkyl groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, oxo, amino, alkylamino or the like. RL04 is a tertiary alkyl group of 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, a trialkylsilyl group in which each alkyl moiety has 1 to 6 carbon atoms, an oxoalkyl group of 4 to 20 carbon atoms, or a group of formula (L1). RL05 is an optionally substituted, straight, branched or cyclic C1-C10 alkyl group or an optionally substituted C6-C20 aryl group. RL06 is an optionally substituted, straight, branched or cyclic C1-C10 alkyl group or an optionally substituted C6-C20 aryl group. RL07 to RL16 independently represent hydrogen or optionally substituted monovalent hydrocarbon groups of 1 to 15 carbon atoms. Letter y is an integer of 0 to 6, m is equal to 0 or 1, n is equal to 0, 1, 2 or 3, and 2m+n is equal to 2 or 3. The broken line denotes a valence bond.
  • In formula (L1), exemplary groups of RL01 and RL02 include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, n-octyl, and adamantyl. RL03 is a monovalent hydrocarbon group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, which may contain a heteroatom such as oxygen, examples of which include straight, branched or cyclic alkyl groups and substituted forms of such alkyl groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, oxo, amino, alkylamino or the like. Illustrative examples of the straight, branched or cyclic alkyl groups are as exemplified above for RL01 and RL02, and examples of the substituted alkyl groups are as shown below.
    Figure imgb0048
    Figure imgb0049
  • A pair of RL01 and RL02, RL01 and RL03, or RL02 and RL03 may bond together to form a ring with carbon and oxygen atoms to which they are attached. Each of ring-forming RL01, RL02 and RL03 is a straight or branched alkylene group of 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms when they form a ring.
  • In formula (L2), exemplary tertiary alkyl groups of RL04 are tert-butyl, tert-amyl, 1,1-diethylpropyl, 2-cyclopentylpropan-2-yl, 2-cyclohexylpropan-2-yl, 2-(bicyclo[2.2.1]heptan-2-yl)propan-2-yl, 2-(adamantan-1-yl)propan-2-yl, 1-ethylcyclopentyl, 1-butylcyclopentyl, 1-ethylcyclohexyl, 1-butylcyclohexyl, 1-ethyl-2-cyclopentenyl, 1-ethyl-2-cyclohexenyl, 2-methyl-2-adamantyl, 2-ethyl-2-adamantyl, and the like. Exemplary trialkylsilyl groups are trimethylsilyl, triethylsilyl, and dimethyl-tert-butylsilyl. Exemplary oxoalkyl groups are 3-oxocyclohexyl, 4-methyl-2-oxooxan-4-yl, and 5-methyl-2-oxooxolan-5-yl.
  • In formula (L3), examples of the optionally substituted C1-C10 alkyl groups of RL05 include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, cyclopentyl, cyclohexyl, and bicyclo[2.2.1]heptyl, and substituted forms of such groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkylthio, sulfo or other groups or in which a methylene moiety is replaced by an oxygen or sulfur atom. Examples of optionally substituted C6-C20 aryl groups include phenyl, methylphenyl, naphthyl, anthryl, phenanthryl, and pyrenyl.
  • In formula (L4), examples of optionally substituted, straight, branched or cyclic C1-C10 alkyl groups and optionally substituted C6-C20 aryl groups of RL06 are the same as exemplified for RL05. Exemplary C1-C15 monovalent hydrocarbon groups of RL07 to RL16 include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl and cyclohexylbutyl, and substituted forms of these groups in which some hydrogen atoms are replaced by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkylthio, sulfo or other groups. Alternatively, two of RL07 to RL16 may bond together to form a non-aromatic ring with the carbon atom(s) to which they are attached (for example, a pair of RL07 and RL08, RL07 and RL09, RL07 and RL10, RL08 and RL10, RL09 and RL10, RL11 and RL12, or RL13 and RL14 form a ring). Each of RL07 to RL16 represents a C1-C15 divalent hydrocarbon group, typically alkylene, when they form a ring, examples of which are those exemplified above for the monovalent hydrocarbon groups, with one hydrogen atom being eliminated. Two of RL07 to RL16 which are attached to vicinal carbon atoms may bond together directly to form a double bond (for example, a pair of RL07 and RL09, RL09 and RL15, RL13 and RL15, or RL14 and RL15).
  • Of the acid labile groups of formula (L1), the straight and branched ones are exemplified by the following groups.
    Figure imgb0050
    Figure imgb0051
    Figure imgb0052
    Figure imgb0053
    Figure imgb0054
    Figure imgb0055
  • Of the acid labile groups of formula (L1), the cyclic ones are, for example, tetrahydrofuran-2-yl, 2-methyltetrahydrofuran-2-yl, tetrahydropyran-2-yl, and 2-methyltetrahydropyran-2-yl.
  • Examples of the acid labile groups of formula (L2) include tert-butoxycarbonyl, tert-butoxycarbonylmethyl, tert-amyloxycarbonyl, tert-amyloxycarbonylmethyl, 1,1-diethylpropyloxycarbonyl, 1,1-diethylpropyloxycarbonylmethyl, 1-ethylcyclopentyloxycarbonyl, 1-ethylcyclopentyloxycarbonylmethyl, 1-ethyl-2-cyclopentenyloxycarbonyl, 1-ethyl-2-cyclopentenyloxycarbonylmethyl, 1-ethoxyethoxycarbonylmethyl, 2-tetrahydropyranyloxycarbonylmethyl, and 2-tetrahydrofuranyloxycarbonylmethyl.
  • Examples of the acid labile groups of formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec-butylcyclopentyl, 1-cyclohexylcyclopentyl, 1-(4-methoxy-n-butyl)cyclopentyl, 1-(bicyclo[2.2.1]heptan-2-yl)cyclopentyl, 1-(7-oxabicyclo[2.2.1]heptan-2-yl)cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl-1-cyclohexen-3-yl, and 3-ethyl-1-cyclohexen-3-yl.
  • Of the acid labile groups of formula (L4), those groups of the following formulae (L4-1) to (L4-4) are preferred.
    Figure imgb0056
  • In formulas (L4-1) to (L4-4), the broken line denotes a bonding site and direction. RL41 is each independently a monovalent hydrocarbon group, typically a straight, branched or cyclic C1-C10 alkyl group, such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, cyclopentyl and cyclohexyl.
  • For formulas (L4-1) to (L4-4), there can exist enantiomers and diastereomers. Each of formulae (L4-1) to (L4-4) collectively represents all such stereoisomers. Such stereoisomers may be used alone or in admixture.
  • For example, the general formula (L4-3) represents one or a mixture of two selected from groups having the following general formulas (L4-3-1) and (L4-3-2).
    Figure imgb0057
    Note that RL41 is as defined above.
  • Similarly, the general formula (L4-4) represents one or a mixture of two or more selected from groups having the following general formulas (L4-4-1) to (L4-4-4).
    Figure imgb0058
    Note that RL41 is as defined above.
  • Each of formulas (L4-1) to (L4-4), (L4-3-1) and (L4-3-2), and (L4-4-1) to (L4-4-4) collectively represents an enantiomer thereof and a mixture of enantiomers.
  • It is noted that in the above formulas (L4-1) to (L4-4), (L4-3-1) and (L4-3-2), and (L4-4-1) to (L4-4-4), the bond direction is on the exo side relative to the bicyclo[2.2.1]heptane ring, which ensures high reactivity for acid catalyzed elimination reaction (see JP-A 2000-336121 ). In preparing these monomers having a tertiary exo-alkyl group of bicyclo[2.2.1]heptane structure as a substituent group, there may be contained monomers substituted with an endo-alkyl group as represented by the following formulas (L4-1-endo) to (L4-4-endo). For good reactivity, an exo proportion of at least 50 mol% is preferred, with an exo proportion of at least 80 mol% being more preferred.
    Figure imgb0059
    Note that RL41 is as defined above.
  • Illustrative examples of the acid labile group of formula (L4) are given below.
    Figure imgb0060
    Figure imgb0061
  • Examples of the tertiary C4-C20 alkyl groups, trialkylsilyl groups in which each alkyl moiety has 1 to 6 carbon atoms, and C4-C20 oxoalkyl groups, represented by R5a, are as exemplified for RL04 and the like.
  • Illustrative examples of the recurring units having formulae (2a) to (2j) are given below, but not limited thereto.
    Figure imgb0062
    Figure imgb0063
    Figure imgb0064
    Figure imgb0065
    Figure imgb0066
    Figure imgb0067
    Figure imgb0068
    Note that R2 is as defined above.
  • Although polymers P1 comprising recurring units of formula (1a) in combination with recurring units of formulae (2a) to (2j) exert satisfactory performance as the resist additive, recurring units of one or multiple types selected from formulae (3a) to (3e), (4a) to (4e), (5a) to (5c), and (6a) to (6c) may be further incorporated therein for the purposes of imparting further water repellency and water slip, and controlling alkaline solubility and developer affinity.
    Figure imgb0069
    Figure imgb0070
    Figure imgb0071
    Figure imgb0072
  • Herein R11 is a C1-C15 monovalent hydrocarbon or fluorinated hydrocarbon group, R12 is an adhesive group, R13 is an acid labile group, R14 is a single bond or divalent C1-C15 organic group, and R15 and R16 each are hydrogen, methyl or trifluoromethyl.
  • Examples of the C1-C15 monovalent hydrocarbon and fluorinated hydrocarbon groups represented by R11 are the same as R5a and R8a.
  • The adhesive group represented by R12 may be selected from a variety of such groups, typically those groups shown below.
    Figure imgb0073
    Figure imgb0074
    Figure imgb0075
    Figure imgb0076
    Figure imgb0077
    Figure imgb0078
    Figure imgb0079
    Figure imgb0080
    Figure imgb0081
    Figure imgb0082
    Herein, the broken line designates a valence bond.
  • The acid labile group represented by R13 may be selected from those groups illustrated for R5a.
  • Suitable divalent C1-C15 organic groups represented by R14 include the above-exemplified monovalent hydrocarbon groups, with one hydrogen atom eliminated (e.g., methylene and ethylene). Also useful are groups of the following formulae.
    Figure imgb0083
    Herein, the broken line designates a valence bond.
  • Monomer Synthesis
  • The polymer P1 used as the additive in the resist composition is characterized by comprising essentially recurring units having formula (1a). Monomers from which these recurring units are derived may be synthesized by any well-known methods, for example, the method of JP Appln. 2010-218249 .
  • Polymer Synthesis
  • The polymer P1 may be synthesized by general polymerization processes including radical polymerization using initiators such as 2,2'-azobisisobutyronitrile (AIBN), and ionic (or anionic) polymerization using alkyl lithium or the like. The polymerization may be carried out by its standard technique. Preferably the polymer P1 is synthesized by radical polymerization while the polymerization conditions may be determined in accordance with the type and amount of initiator, temperature, pressure, concentration, solvent, additives, and the like.
  • Examples of the radical polymerization initiator used herein include azo compounds such as
    2,2'-azobisisobutyronitrile (AIBN),
    2,2'-azobis(4-methoxy-2,4-dimethylvaleronitrile), 2,2'-azobis(2,4-dimethylvaleronitrile),
    2,2'-azobis(2,4,4-trimethylpentane), and
    dimethyl 2,2'-azobis(isobutyrate); peroxides such as tert-butylperoxypivalate, lauroyl peroxide, benzoyl peroxide, and tert-butylperoxylaurate; water-soluble polymerization initiators such as potassium persulfate; and redox initiators comprising a peroxide (e.g., potassium persulfate or hydrogen peroxide) combined with a reducing agent (e.g., sodium sulfite). Although the amount of polymerization initiator used may vary with its type and other polymerization conditions, it is generally used in an amount of 0.001 to 10 mol%, and preferably 0.01 to 6 mol% based on the total moles of monomers to be polymerized.
  • During the synthesis of polymer P1, any known chain transfer agent such as dodecyl mercaptan or 2-mercaptoethanol may be added for molecular weight control purpose. The amount of chain transfer agent added is preferably 0.01 to 10 mol% based on the total moles of monomers to be polymerized.
  • Polymer P1 may be synthesized by combining suitable monomers selected from polymerizable monomers corresponding to recurring units of formulae (1a), (2a) to (2j), (3a) to (3e), (4a) to (4e), (5a) to (5c), and (6a) to (6c), adding an initiator and chain transfer agent to the monomer mixture, and effecting polymerization.
  • In polymer P1 wherein U1 stands for a total molar number of a monomer corresponding to units of formula (1a), U2 stands for a total molar number of monomers corresponding to units of formulae (2a) to (2j), and U3 stands for a total molar number of monomers corresponding to units of formulae (3a) to (3e), (4a) to (4e), (5a) to (5c), and (6a) to (6c), with the proviso that U1+U2+U3 = U (=100 mol%), values of U1, U2, and U3 are preferably determined so as to meet:
    • 0 ≤ U1/U < 1, more preferably 0.1 ≥ U1/U ≤ 0.8, even more preferably 0.1 ≤ U1/U ≤ 0.7,
    • 0 ≤ U2/U < 1, more preferably 0.2 ≤ U2/U ≤ 0.9, even more preferably 0.3 ≤ U2/U ≤ 0.9, and
    • 0 ≤ U3/U < 1, more preferably 0 ≤ U3/U ≤ 0.4, even more preferably 0 ≤ U3/U ≤ 0.2.
  • For polymerization, a solvent may be used if desired. Preferred is the solvent which does not interfere with the desired polymerization reaction. Typical solvents used herein include esters such as ethyl acetate, n-butyl acetate, and γ-butyrolactone; ketones such as acetone, methyl ethyl ketone, and methyl isobutyl ketone; aliphatic or aromatic hydrocarbons such as toluene, xylene and cyclohexane; alcohols such as isopropyl alcohol and ethylene glycol monomethyl ether; and ether solvents such as diethyl ether, dioxane, and tetrahydrofuran, which may be used alone or in admixture. Although the amount of solvent used may vary with the desired degree of polymerization (or molecular weight), the amount of initiator added, and other polymerization conditions such as temperature, it is generally used in such an amount as to provide a concentration of 0.1 to 95% by weight, preferably 5 to 90% by weight of monomers to be polymerized.
  • Although the temperature of the polymerization reaction may vary with the identity of polymerization initiator or the boiling point of solvent, it is preferably in the range of 20 to 200°C, and more preferably 50 to 140°C. Any desired reactor or vessel may be used for the polymerization reaction.
  • From the solution or dispersion of the polymer thus synthesized, the organic solvent or water serving as the reaction medium is removed by any well-known techniques. Suitable techniques include, for example, re-precipitation followed by filtration, and heat distillation under vacuum.
  • Desirably polymer P1 has a weight average molecular weight (Mw) of 1,000 to 500,000, and especially 2,000 to 30,000, as determined versus polystyrene standards by gel permeation chromatography (GPC) using tetrahydrofuran as solvent. This is because a polymer with too low a Mw may readily dissolve in water whereas too high a Mw may lead to a decline of alkali solubility and cause defect formation during spin coating.
  • In polymer P1, R1 in formula (1a), R5a in formulae (2a), (2b) and (2f), and R13 in formulae (3c) and (4c) may be introduced by post-protection reaction. Specifically, a monomer wherein R1, R5a or R13 is hydrogen is previously polymerized to synthesize a precursor polymer. Post-protection reaction is effected on the precursor polymer for substituting groups R1, R5a or R13 for some or all hydroxyl groups on the precursor polymer as shown below.
    Figure imgb0084
    Figure imgb0085
    Figure imgb0086
  • Herein R1, R5a, and R13 are as defined above, and X is chlorine, bromine or iodine.
  • The desired polymer is obtainable via post-protection reaction by reacting the precursor polymer with a base in an amount of 1 to 2 equivalents relative to the desired degree of substitution of hydroxyl groups, and then with R1-X, R5a-X or R13-X in an amount of 1 to 2 equivalents relative to the base.
  • The post-protection reaction may be effected in a solvent, which is selected from hydrocarbons such as benzene and toluene, and ethers such as dibutyl ether, diethylene glycol diethyl ether, diethylene glycol dimethyl ether, tetrahydrofuran and 1,4-dioxane, alone or in admixture. Suitable bases used herein include, but are not limited to, sodium hydride, n-butyl lithium, lithium diisopropylamide, triethylamine, and pyridine.
  • Resist composition
  • Briefly stated, the resist composition is defined as comprising (A) polymer P1, in combination with (B) a base resin, i.e., polymer which becomes soluble in an alkaline developer under the action of an acid as a base resin. Since polymer P1 contains a plurality of fluorine atoms, the overall polymer functions as a surfactant. When a resist film is formed by spin coating the composition, polymer P1 segregates in a sub-surface layer of the resist film. The sub-surface layer improves the water repellency and water slip on the resist surface, and prevents any water-soluble components in the resist composition from being leached out.
  • Polymer P1 is added as an additive to the resist composition preferably in an amount (total amount if plural polymers P1 are used) of 0.1 to 50 parts, more preferably 0.5 to 10 parts by weight per 100 parts by weight of the base resin (B). At least 0.1 phr of polymer P1 is effective in improving the receding contact angle with water of photoresist film surface, whereas up to 50 phr of polymer P1 forms a photoresist film having a low dissolution rate in alkaline developer and capable of maintaining the height of a fine pattern formed therein.
  • Base resin
  • The resist composition contains (B) a polymer having a lactone ring-derived structure, hydroxyl-containing structure and/or maleic anhydride-derived structure and adapted to become soluble in an alkaline developer under the action of an acid as a base resin. Examples of the base polymer (B) include, but are not limited to, (meth)acrylate polymers, (α-trifluoromethyl)acrylate-maleic anhydride copolymers, cycloolefin-maleic anhydride copolymers, polynorbornene, polymers resulting from ring-opening metathesis polymerization (ROMP) of cycloolefins, hydrogenated cycloolefin ROMP polymers, copolymers of hydroxystyrene with (meth)acrylate, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, or norbornadiene derivatives, and novolac resins. Examples of these polymers are described in USP 7,537,880 ( JP-A 2008-111103 , paragraph [0072] to [0120]). The polymer serving as base resin (B) is not limited to one type and a mixture of two or more polymers may be added. The use of plural polymers allows for easy adjustment of resist properties.
  • The base polymer (B) may further comprise recurring units of at least one type selected from the general formulae (2A) to (2D).
    Figure imgb0087
    Herein R1A is hydrogen, fluorine, methyl or trifluoromethyl, XA is an acid labile group, XB and XC are each independently a single bond or a straight or branched C1-C4 divalent hydrocarbon group (typically alkylene), YA is a substituent group having a lactone structure, ZA is hydrogen, or a C1-C15 fluoroalkyl group or C1-C15 fluoroalcohol-containing substituent group, and k1A is an integer of 1 to 3.
  • A polymer comprising recurring units of formula (2A) is decomposed under the action of an acid to generate carboxylic acid so that the polymer may become alkali soluble. While the acid labile group XA may be selected from a variety of such groups, it may be as exemplified above for R5a in formulae (2a) to (2j).
  • Examples of recurring units of formula (2A) are given below, but not limited thereto.
    Figure imgb0088
    Figure imgb0089
    Figure imgb0090
    Figure imgb0091
    Figure imgb0092
    Figure imgb0093
    Figure imgb0094
    Figure imgb0095
    Figure imgb0096
    Figure imgb0097
    Figure imgb0098
    Figure imgb0099
    Figure imgb0100
    Figure imgb0101
    Figure imgb0102
    Figure imgb0103
    Figure imgb0104
    Figure imgb0105
    Figure imgb0106
    Figure imgb0107
    Figure imgb0108
    Figure imgb0109
    Figure imgb0110
    Figure imgb0111
    Figure imgb0112
  • Examples of recurring units of formula (2B) are given below, but not limited thereto.
    Figure imgb0113
    Figure imgb0114
    Figure imgb0115
    Figure imgb0116
    Figure imgb0117
    Figure imgb0118
  • Examples of recurring units of formula (2C) are given below, but not limited thereto.
    Figure imgb0119
    Figure imgb0120
    Figure imgb0121
    Figure imgb0122
    Figure imgb0123
    Figure imgb0124
    Figure imgb0125
    Figure imgb0126
    Figure imgb0127
    Figure imgb0128
    Figure imgb0129
    Figure imgb0130
    Figure imgb0131
  • Examples of recurring units of formula (2D) are given below, but not limited thereto.
    Figure imgb0132
    Figure imgb0133
    Figure imgb0134
    Figure imgb0135
    Figure imgb0136
    Figure imgb0137
  • The base polymer (B) may have further copolymerized therein any of sulfonium salts (f1) to (f3) represented by the following general formulae.
    Figure imgb0138
  • Herein R20, R24 and R28 each are hydrogen or methyl. R21 is a single bond, phenylene, -O-R33 , or -C(=O)-Y-R33- wherein Y is oxygen or NH and R33 is a straight, branched or cyclic C1-C6 alkylene group, alkenylene group or phenylene group, which may contain a carbonyl (-CO-), ester (-COO-), ether (-O-) or hydroxyl radical. R22, R23, R25, R26, R27, R29, R30, and R31 are each independently a straight, branched or cyclic C1-C12 alkyl group which may contain a carbonyl, ester or ether radical, or a C6-C12 aryl group, C7-C20 aralkyl group, or thiophenyl group. Z0 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, -O-R32, or -C(=O)-Z1-R32- wherein Z1 is oxygen or NH and R32 is a straight, branched or cyclic C1-C6 alkylene group, alkenylene group or phenylene group, which may contain a carbonyl, ester, ether or hydroxyl radical. M-is a non-nucleophilic counter ion.
  • In addition to the foregoing units, the base polymer (B) may further comprise recurring units derived from carbon-to-carbon double bond-bearing monomers other than the above-described ones, for example, substituted acrylic acid esters such as methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate, unsaturated carboxylic acids such as maleic acid, fumaric acid, and itaconic acid, cyclic olefins such as norbornene, norbornene derivatives, and tetracyclo[4.4.0.12,5 .17,10]dodecene derivatives, unsaturated acid anhydrides such as itaconic anhydride, and other monomers.
  • In the resist composition, (C) an acid generator, typically photoacid generator (PAG) is compounded. The PAG may be any compound capable of generating an acid upon exposure of high-energy radiation. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators. Exemplary acid generators are described in US 20090274978 ( JP-A 2009-269953 , paragraphs [0151] to [0156]).
  • The preferred PAGs are those compounds of the general formula (C)-1.
    Figure imgb0139
  • Herein R405, R406, and R407 are each independently hydrogen or a straight, branched or cyclic C1-C20 monovalent hydrocarbon group which may contain a heteroatom, typically an alkyl or alkoxy group. R408 is a straight, branched or cyclic C7-C30 monovalent hydrocarbon group which may contain a heteroatom.
  • Examples of the hydrocarbon groups optionally containing a heteroatom, represented by R405, R406, and R407, include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, cyclopentyl, cyclohexyl, ethylcyclopentyl, butylcyclopentyl, ethylcyclohexyl, butylcyclohexyl, adamantyl, ethyladamantyl, butyladamantyl, and modified forms of the foregoing in which any carbon-carbon bond is separated by a hetero-atomic grouping such as -O-, -S-, -SO-, -SO2-, -NH-, -C(=O)-, -C(=O)O-, or -C(=O)NH-, or any hydrogen atom is replaced by a functional group such as -OH, -NH2, -CHO, or -CO2H. Examples of the straight, branched or cyclic C7-C30 monovalent hydrocarbon groups optionally containing a heteroatom, represented by R408, are shown below, but not limited thereto.
    Figure imgb0140
    Figure imgb0141
    Figure imgb0142
    Figure imgb0143
  • Illustrative examples of acid generator (C)-1 are shown below, but not limited thereto.
    Figure imgb0144
    Figure imgb0145
    Figure imgb0146
    Figure imgb0147
    Figure imgb0148
    Figure imgb0149
    Figure imgb0150
    Figure imgb0151
    Figure imgb0152
    Figure imgb0153
  • It is noted that an acid diffusion controlling function may be provided when two or more PAGs are used in admixture provided that one PAG is an onium salt capable of generating a weak acid. Specifically, in a system using a mixture of a PAG capable of generating a strong acid (e.g., fluorinated sulfonic acid) and an onium salt capable of generating a weak acid (e.g., non-fluorinated sulfonic acid or carboxylic acid), if the strong acid generated by the PAG upon exposure to high-energy radiation collides with the unreacted onium salt having a weak acid anion, then a salt exchange occurs whereby the weak acid is released and an onium salt having a strong acid anion is formed. In this course, the strong acid is exchanged into the weak acid having a low catalysis, incurring apparent deactivation of the acid for enabling to control acid diffusion.
  • If the PAG capable of generating a strong acid is also an onium salt, an exchange from the strong acid (generated upon exposure to high-energy radiation) to a weak acid as above can take place, but it never happens that the weak acid (generated upon exposure to high-energy radiation) collides with the unreacted onium salt capable of generating a strong acid to induce a salt exchange. This is because of a likelihood of an onium cation forming an ion pair with a stronger acid anion.
  • An appropriate amount of PAG added is 0.1 to 40 parts, and more preferably 0.1 to 20 parts by weight per 100 parts by weight of the base resin (B) in the composition. As long as PAG is up to 40 phr, the resulting resist film has a fully high transmittance and a minimal likelihood of degraded resolution. The PAG may be used alone or in admixture of two or more. The transmittance of the resist film can be controlled by using a PAG having a low transmittance at the exposure wavelength and adjusting the amount of the PAG added.
  • The resist composition may further comprise one or more of (D) an organic solvent, (E) a basic compound, (F) a dissolution regulator, (G) a surfactant, and (H) an acetylene alcohol derivative.
  • The organic solvent (D) used herein may be any organic solvent in which polymer P1, the base resin, PAG, and other components are soluble. Exemplary solvents are described in JP-A 2008-111103 , paragraph [0144]. The organic solvents may be used alone or in combinations of two or more thereof. An appropriate amount of the organic solvent used is 200 to 10,000 parts, especially 400 to 7,000 parts by weight per 100 parts by weight of the base resin (B). It is recommended to use diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate (PGMEA), and mixtures thereof because the acid generator is most soluble therein.
  • As the basic compound (E), nitrogen-containing organic compounds are preferred and may be used alone or in admixture. Those compounds capable of suppressing the rate of diffusion when the acid generated by the PAG diffuses within the resist film are useful. The inclusion of such quencher facilitates adjustment of resist sensitivity and holds down the rate of acid diffusion within the resist film, resulting in better resolution. In addition, it suppresses changes in sensitivity following exposure and mitigates substrate poisoning and environment dependence, as well as improving the exposure latitude and the pattern profile.
  • Suitable nitrogen-containing organic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having carboxyl group, nitrogen-containing compounds having sulfonyl group, nitrogen-containing compounds having hydroxyl group, nitrogen-containing compounds having hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide, imide and carbamate derivatives. Illustrative examples are described in JP-A 2009-269953 , paragraphs [0122] to [0141].
  • The basic compound is preferably used in an amount of 0.001 to 8 parts, more preferably 0.01 to 4 parts by weight per 100 parts by weight of the base resin (B). Less than 0.001 phr fails to achieve the desired addition effect whereas more than 8 phr may lead to a lowering of sensitivity. The preferred nitrogen-containing organic compound is a compound capable of holding down the diffusion rate of acid when the acid generated by the acid generator diffuses in the resist film. The inclusion of the nitrogen-containing organic compound holds down the diffusion rate of acid in the resist film, which leads to many advantages including improved resolution, minimized sensitivity change following exposure, reduced substrate poisoning and environment dependency, and improved exposure latitude and pattern profile.
  • The dissolution regulator or inhibitor (F) which can be added to the resist composition is a compound having on the molecule at least two phenolic hydroxyl groups which are protected with an acid labile group, or a compound having on the molecule at least one carboxyl group which is protected with an acid labile group. Exemplary regulators are described in JP-A 2008-122932 , paragraphs [0155] to [0178]. The dissolution regulator is preferably used in an amount of 0 to 40 parts, more preferably 5 to 30 parts by weight per 100 parts by weight of the base resin (B).
  • Optionally, the resist composition may further comprise (G) a surfactant which is commonly used for facilitating the coating operation. Exemplary surfactants are described in JP-A 2008-111103 , paragraph [0166].
  • Optionally, the resist composition may further comprise (H) an acetylene alcohol derivative. Exemplary compounds are described in JP-A 2008-122932 , paragraphs [0180] to [0181].
  • Optionally, the resist composition may further comprise (I) a fluorinated alcohol. When the resist composition contains (E) a basic compound, the fluorinated ester in recurring units (1a) of polymer P1 is subject to gradual hydrolysis during shelf storage, which may lead to a decline of water repellent and water slip performance during the immersion lithography process. In such a case, (I) a fluorinated alcohol may be added to the resist composition for suppressing the hydrolysis which is otherwise promoted by the basic compound (E), thus enhancing storage stability. Examples of the fluorinated alcohol include, but are not limited to, 2,2,2-trifluoroethanol, 2,2,3,3-tetrafluoro-1-propanol, 1,3-difluoro-2-propanol, 1,1,1,3,3,3-hexafluoro-2-propanol, 1,1,1,3,3,3-hexafluoro-2-trifluoromethyl-2-propanol, 2,2,3,4,4,4-hexafluoro-1-butanol, 2,2,2,2',2',2'-hexafluorocumylalcohol, and 2,2,3,3,4,4,5,5-octafluoro-1-pentanol. The fluorinated alcohol (I) is preferably used in an amount of 0.01 to 10 parts, more preferably 0.01 to 5 parts by weight per part by weight of the basic compound (E).
  • Pattern forming process
  • It is now described how to form a pattern using the resist composition of the invention. A pattern may be formed from the resist composition using any well-known lithography process. The preferred process includes at least the steps of forming a resist film on a substrate, exposing it to high-energy radiation, and developing it with a developer.
  • The resist composition is applied onto a substrate, typically a silicon wafer by a suitable coating technique such as spin coating. The coating is prebaked on a hot plate at a temperature of 60 to 150°C for 1 to 10 minutes, preferably 80 to 140°C for 1 to 5 minutes, to form a resist film of 0.01 to 2.0 µm thick. It is noted in conjunction with spin coating that if the resist composition is coated onto the surface of a substrate which has been wetted with the resist solvent or a solution miscible with the resist solvent, then the amount of the resist composition dispensed can be reduced (see JP-A H09-246173 ).
  • A mask having the desired pattern is then placed over the resist film, and the film exposed through the mask to an electron beam or to high-energy radiation such as deep-UV, excimer laser or x-ray in a dose of 1 to 200 mJ/cm2, and preferably 10 to 100 mJ/cm2. The high-energy radiation used herein preferably has a wavelength in the range of 180 to 250 nm.
  • Light exposure may be dry exposure in air or nitrogen atmosphere, or immersion lithography of providing a liquid, typically water between the resist film and the projection lens. The liquid used for immersion is a liquid having a refractive index of at least 1 and high transparency at the exposure wavelength, such as water or alkane. EB or EUV exposure in vacuum is also acceptable.
  • The resist film formed from the resist composition has such barrier properties against water that it may inhibit resist components from being leached out in water and as a consequence, eliminate a need for a protective coating in the immersion lithography and reduce the cost associated with protective coating formation and removal. The resist film has so high a receding contact angle with water that few liquid droplets may be left on the surface of the resist film after immersion lithography scanning, minimizing pattern formation failures induced by liquid droplets left on the film surface.
  • In another version of immersion lithography, a protective coating may be formed on top of the resist film. The resist protective coating may be either of the solvent stripping type or of the developer dissolution type. A resist protective coating of the developer dissolution type is advantageous for process simplicity because it can be stripped during development of a resist film. The resist protective coating used in the immersion lithography may be formed from a coating solution, for example, a topcoat solution of a polymer having acidic units such as 1,1,1,3,3,3-hexafluoro-2-hydroxy-2-propyl, carboxyl or sulfo groups which is insoluble in water and soluble in an alkaline developer liquid, in a solvent selected from alcohols of at least 4 carbon atoms, ethers of 8 to 12 carbon atoms, and mixtures thereof. The resist protective coating is not limited thereto.
  • The resist protective coating may be formed by spin coating a topcoat solution onto a prebaked resist film, and prebaking on a hot plate at 50 to 150°C for 1 to 10 minutes, preferably at 70 to 140°C for 1 to 5 minutes. Preferably the protective coating has a thickness in the range of 10 to 500 nm. As in the case of resist compositions, the amount of the protective coating material dispensed in forming a protective coating by spin coating may be reduced by previously wetting the resist film surface with a suitable solvent and applying the protective coating material thereto.
  • After exposure to high-energy radiation through a photomask, the resist film is baked (PEB) on a hot plate at 60 to 150°C for 1 to 5 minutes, and preferably at 80 to 140°C for 1 to 3 minutes.
  • Where a resist protective coating is used, sometimes water is left on the protective coating prior to PEB. If PEB is performed in the presence of residual water, water can penetrate through the protective coating to suck up the acid in the resist during PEB, impeding pattern formation. To fully remove the water on the protective coating prior to PEB, the water on the protective coating should be dried or recovered by suitable means, for example, spin drying, purging the protective coating surface with dry air or nitrogen, or optimizing the shape of a water recovery nozzle on the relevant stage or a water recovery process.
  • After the exposure, development is carried out by a conventional method such as dip, puddle, or spray development with an aqueous alkaline solution such as tetramethylammonium hydroxide (TMAH) solution. The developer may have a concentration of 0.1 to 5 wt%, preferably 2 to 3 wt%. A typical developer is a 2.38 wt% TMAH aqueous solution. The development time is 10 to 300 seconds, and preferably 0.5 to 2 minutes. These steps result in the formation of the desired pattern on the substrate.
  • Where polymer P1 is used as an additive to a resist material for use with mask blanks, a resist solution is prepared by adding polymer P1 to a base resin and dissolving them in an organic solvent. The resist solution is coated on a mask blank substrate of SiO2, Cr, CrO, CrN, MoSi or the like. A SOG film and an organic undercoat film may intervene between the resist film and the blank substrate to construct a three-layer structure which is also acceptable herein.
  • As the base resin of the resist composition for use with mask blanks, novolac resins and hydroxystyrene are often used. Those resins in which alkali soluble hydroxyl groups are substituted by acid labile groups are used for positive resists while these resins in combination with crosslinking agents are used for negative resists. Base polymers which can be used herein include copolymers of hydroxystyrene with one or more of (meth)acrylic derivatives, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, and norbornadiene derivatives.
  • Once the resist film is formed, the structure is exposed to EB in vacuum using an EB image-writing system. The exposure is followed by baking (PEB) and development in an alkaline developer for 10 to 300 seconds, thereby forming a pattern.
  • EXAMPLE
  • Examples are given below by way of illustration and not by way of limitation. The abbreviations Mw and Mn are weight and number average molecular weights, respectively, as measured versus polystyrene standards by gel permeation chromatography (GPC) using tetrahydrofuran as solvent, and Mw/Mn is a polydispersity index.
  • Polymer synthesis Synthesis Example 1-1 Synthesis of Polymer 1
  • In a nitrogen atmosphere, a flask was charged with 15.0 g of ethylene glycol methacrylate [3,3,3-trifluoro-2-hydroxy-2-(trifluoromethyl)propionate], 0.53 g of dimethyl 2,2'-azobis(isobutyrate), and 15.0 g of methyl ethyl ketone to form a monomer solution at a temperature of 20-25°C. In a nitrogen atmosphere, another flask was charged with 7.50 g of methyl ethyl ketone, which was heated at 80°C with stirring. The monomer solution was added dropwise thereto over 4 hours. After the completion of dropwise addition, the polymerization solution was stirred for a further 2 hours while maintaining the temperature of 80°C. At the end of maturing, the solution was cooled to room temperature. The polymerization solution was transferred to an eggplant-shape flask and concentrated using an evaporator. Then toluene was added to the flask so as to eventually form a 40 wt% solution of toluene/methyl ethyl ketone (mix ratio 9/1). The solution was added dropwise to 150 g of hexane whereupon a copolymer precipitated. The copolymer was collected by filtration, washed with 90 g of hexane, and separated as a white solid. The white solid was vacuum dried at 50°C for 20 hours, yielding the target polymer, designated Polymer 1, in white powder solid form. Amount 12.7 g, yield 80%.
    Figure imgb0154
  • Synthesis Examples 1-2 to 1-22 and Comparative Synthesis Examples 1-1 to 1-3 Synthesis of Polymers 2 to 22 and Comparative Polymers 1 to 3
  • Polymers 2 to 22 and Comparative Polymers 1 to 3 were synthesized as in Synthesis Example 1-1 aside from changing the amount and type of monomers. It is noted that the values of c, d, e and f are molar ratios of monomer units.
    Figure imgb0155
    Figure imgb0156
    Figure imgb0157
    Figure imgb0158
    Figure imgb0159
    Figure imgb0160
    Figure imgb0161
    Figure imgb0162
    Figure imgb0163
    Figure imgb0164
    Figure imgb0165
    Figure imgb0166
    Figure imgb0167
    Figure imgb0168
    Figure imgb0169
    Figure imgb0170
    Figure imgb0171
    Figure imgb0172
    Figure imgb0173
    Figure imgb0174
    Figure imgb0175
    Figure imgb0176
    Figure imgb0177
    Figure imgb0178
  • Preparation of resist Examples 1-1 to 1-30 and Comparative Examples 1-1 to 1-4
  • A resist solution was prepared by combining an additive polymer (Polymers 1 to 22 and Comparative Polymers 1 to 3), a base resin (Resist Polymers 1 and 2), an acid generator, a basic compound, and a solvent in accordance with the formulation shown in Table 1, mixing and dissolving the components, and filtering through a Teflon® filter having a pore size of 0.2 µm. The solvent contained 0.01 wt% of a surfactant KH-20 (Asahi Chemical Industry Co., Ltd.). In this way, inventive resist compositions R-01 to R-30 and comparative resist compositions R-31 to R-34 were obtained.
    Figure imgb0179
  • The abbreviations for acid generator, base and solvent in Table 1 are identified below.
    Figure imgb0180
    Figure imgb0181
    Figure imgb0182
    Figure imgb0183
    PGMEA: 1-methoxyisopropyl acetate
    CyHO: cyclohexanone
    GBL: γ-butyrolactone
  • Resist Evaluation Examples 2-1 to 2-30 and Comparative Examples 2-1 to 2-4
  • An antireflective coating ARC-29A (Nissan Chemical Co., Ltd.) was deposited on a silicon substrate to a thickness of 87 nm. The resist solution was applied onto the ARC and baked at 120°C for 60 seconds to form a resist film of 150 nm thick.
  • A contact angle with water of the resist film was measured, using an inclination contact angle meter Drop Master 500 by Kyowa Interface Science Co., Ltd. Specifically, the wafer covered with the resist film was kept horizontal, and 50 µL of pure water was dropped on the resist film to form a droplet. While the wafer was gradually inclined, the angle (sliding angle) at which the droplet started sliding down was determined as well as receding contact angle. The results are shown in Table 2.
  • A smaller sliding angle indicates an easier flow of water on the resist film. A larger receding contact angle indicates that fewer liquid droplets are left during high-speed scan exposure. It is demonstrated in Table 2 that the inclusion of the additive polymer of the invention in a resist solution achieves a drastic improvement in the receding contact angle of resist film without adversely affecting the sliding angle, as compared with those resist films free of the additive polymer.
  • Also, the resist film-bearing wafer (prepared above) was irradiated through an open frame at an energy dose of 50 mJ/cm2 using an ArF scanner S305B (Nikon Corp.). Then a true circle ring of Teflon® having an inner diameter of 10 cm was placed on the resist film, 10 mL of pure water was carefully injected inside the ring, and the resist film was kept in contact with water at room temperature for 60 seconds. Thereafter, the water was recovered, and a concentration of photoacid generator (PAG1) anion in the water was measured by an LC-MS analyzer (Agilent). The results are also shown in Table 2.
  • It is evident from Table 2 that a resist film formed from a resist solution containing the additive polymer according to the invention is effective in inhibiting the PAG from being leached out of the film in water.
  • Further, the resist film-bearing wafer (prepared above) was exposed by means of an ArF scanner model S307E (Nikon Corp., NA 0.85, σ 0.93, 4/5 annular illumination, 6% halftone phase shift mask), rinsed for 5 minutes while splashing pure water, baked (PEB) at 110°C for 60 seconds, and developed with a 2.38 wt% TMAH aqueous solution for 60 seconds, forming a 75-nm line-and-space pattern. The wafer was sectioned, and the profile and sensitivity of the 75-nm line-and-space pattern were evaluated. The results are also shown in Table 2.
  • As seen from Table 2, when exposure is followed by water rinsing, the resist film having the additive polymer according to the invention formulated therein formed a pattern of rectangular profile, in stark contrast with the resist film free of the additive polymer forming a pattern of T-top profile.
    Figure imgb0184
  • Evaluation of resist pattern defects Examples 3-1 to 3-6 and Comparative Examples 3-1 to 3-2
  • An antireflective coating ARC-29A (Nissan Chemical Co., Ltd.) of 95 nm thick was deposited on a silicon substrate. The resist solution was applied onto the ARC and baked at 120°C for 60 seconds to form a resist film of 150 nm thick. Using an ArF scanner model S610C (Nikon Corp., NA 1.20, σ 0.98, 4/5 dipole illumination (open angle 35°), binary mask), the resist film on the wafer was exposed at a scan speed of 500 mm/s. This was followed by baking (PEB) at 110°C for 60 seconds and development with a 2.38 wt% TMAH aqueous solution for 30 seconds. The wafer as developed was further baked at 110°C for 60 seconds, completing a 45-nm line-and-space pattern.
  • Using a flaw detector, the number of defects on the pattern was counted. The pattern was observed under scanning electron microscope (SEM) to see whether the defects were bridge defects or watermark defects. The "bridge defect" is formed by the mechanism that foreign matter deposits in a space to form a bridge between adjacent lines. The "watermark defect" is characterized in that the pattern is waved and the waved portion covers several lines in a circular fashion. It is believed that the watermark defect is caused by a residual water droplet from the immersion water. The watermark defect tends to form when the resist film surface is short of water repellency.
  • FIGS. 1 and 2 are SEM images of bridge defect and watermark defect, respectively. The counts of bridge defects and watermark defects are reported in Table 3. Table 3
    Resist Bridge defects (count) Watermark defects (count)
    Example 3-1 R-06 8 9
    3-2 R-07 5 3
    3-3 R-08 2 2
    3-4 R-23 3 2
    3-5 R-27 3 5
    3-6 R-28 2 3
    Comparative Example 3-1 R-31 9 33
    3-2 R-32 25 21
  • It is evident from Table 3 that the resist compositions within the scope of the invention are effective for reducing both bridge defects and watermark defects since the resist compositions take full advantage of the additive polymer according to the invention featuring a satisfactory alkali dissolution rate and a high receding contact angle.
  • NOTES
    1. (1) In respect of numerical ranges disclosed in the present description it will of course be understood that in the normal way the technical criterion for the upper limit is different from the technical criterion for the lower limit, i.e. the upper and lower limits are intrinsically distinct proposals.
    2. (2) For the avoidance of doubt it is confirmed that in the general description above, in the usual way the proposal of general preferences and options in respect of different features of the resist compositions constitutes the proposal of general combinations of those general preferences and options for the different features, insofar as they are combinable and compatible and are put forward in the same context.

Claims (13)

  1. A resist composition comprising
    (A) polymer comprising recurring units of the following general formula (1a);
    (B) base resin polymer having a lactone ring-derived structure, hydroxyl-containing structure and/or maleic anhydride-derived structure, which becomes soluble in an alkaline developer under the action of an acid;
    (C) a compound capable of generating an acid upon exposure to high-energy radiation, and (D) an organic solvent,
    Figure imgb0185
    wherein R1 is hydrogen or a straight, branched or cyclic C1-C20 monovalent hydrocarbon group in which a constituent moiety -CH2- may be replaced by -O- or -C(=O)-, R2 is hydrogen, fluorine, methyl or trifluoromethyl, Aa is a straight, branched or cyclic C1-C20 hydrocarbon or fluorinated hydrocarbon group having a valence of k1+1, Ab is a straight, branched or cyclic C1-C6 divalent hydrocarbon group, k1 is an integer of 1 to 3, and k2 is 0 or 1.
  2. The resist composition of claim 1 comprising (A) a polymer comprising recurring units of the general formula (1a) as set forth in claim 1 and recurring units of one or more type selected from the general formulae (2a) to (2j), (B) a polymer having a lactone ring-derived structure, hydroxyl-containing structure and/or maleic anhydride-derived structure and adapted to become soluble in alkaline developer under the action of acid as a base resin, (C) a compound capable of generating an acid upon exposure to high-energy radiation, and (D) an organic solvent,
    Figure imgb0186
    Figure imgb0187
    Figure imgb0188
    wherein R2 is as defined above, R4a and R4b are each independently hydrogen or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, or R4a and R4b may bond together to form a non-aromatic ring of 3 to 8 carbon atoms with the carbon atom to which they are attached, R5a is hydrogen, a straight, branched or cyclic C1-C15 monovalent hydrocarbon or fluorinated hydrocarbon group, or an acid labile group, in the case of hydrocarbon group, a constituent moiety -CH2- may be replaced by -O- or -C(=O) - , R6a, R6b and R6c are each independently hydrogen, or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, R6a and R6b, R6a and R6c, or R6b and R6c may bond together to form a non-aromatic ring of 3 to 8 carbon atoms with the carbon atom to which they are attached, R7a is hydrogen, or a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, R7b is a straight, branched or cyclic C1-C15 monovalent hydrocarbon group, R7a and R7b may bond together to form a non-aromatic ring of 3 to 8 carbon atoms with the carbon atom to which they are attached, R8a, R8b and R8c are each independently a straight, branched or cyclic C1-C15 monovalent fluorinated hydrocarbon group, R9a is a straight, branched or cyclic C1-C15 monovalent hydrocarbon or fluorinated hydrocarbon group, and k2 is 0 or 1.
  3. A resist composition according to claim 1 or
    claim 2 in which polymer (B) is selected from (meth)acrylate polymers, (α-trifluoromethyl)acrylate-maleic anhydride copolymers, cycloolefin-maleic anhydride copolymers, polynorbornene, polymers resulting from ring-opening metathesis polymerization of cycloolefins, hydrogenated polymers resulting from ring-opening metathesis polymerization of cycloolefins, copolymers of hydroxystyrene with (meth)acrylate, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, or norbornadiene derivatives, and novolac resins.
  4. A resist composition of claim 1, 2 or 3 wherein the base resin polymer (B) comprises recurring units of at least one type selected from the general formulae (2A) to (2D):
    Figure imgb0189
    wherein R1A is hydrogen, fluorine, methyl or trifluoromethyl, XA is an acid labile group, XB and XC are each independently a single bond or a straight or branched C1-C4 divalent hydrocarbon group, YA is a substituent group having a lactone structure, ZA is hydrogen, a C1-C15 fluoroalkyl group or C1-C15 fluoroalcohol-containing substituent group, and k1A is an integer of 1 to 3.
  5. The resist composition of any one of claims 1 to 4 wherein the polymer (A) comprising recurring units of formula (1a) is in an amount of 0.1 to 50 parts by weight per 100 parts by weight of the polymer (B).
  6. The resist composition of any one of claims 1 to 5, further comprising (E) a basic compound.
  7. The resist composition of any one of claims 1 to 6, further comprising (F) a dissolution regulator.
  8. A pattern forming process comprising the steps of (1) applying a resist composition of any one of claims 1 to 7 onto a substrate, (2) heat treating, and exposing the resulting resist film to high-energy radiation through a photomask, or to electron beam, and (3) developing with a developer.
  9. A pattern forming process of claim 8 comprising (1) applying the resist composition of any one of claims 1 to 7 onto a substrate, (2) heat treating and exposing the resulting resist film to high-energy radiation from a projection lens through a photomask while holding a liquid between the substrate and the projection lens, and (3) developing with a developer.
  10. A pattern forming process of claim 8 comprising (1) applying the resist composition of any one of claims 1 to 7 onto a substrate to form a resist film, (2) forming a protective coating onto the resist film, (3) heat treating and exposing the resist film to high-energy radiation from a projection lens through a photomask while holding a liquid between the substrate and the projection lens, and (4) developing with a developer.
  11. The process of claim 9 or 10 wherein the liquid is water.
  12. The process of any one of claims 8 to 11 wherein the high-energy radiation has a wavelength in the range of 180 to 250 nm.
  13. A pattern forming process of claim 8 comprising (1) applying the resist composition of any one of claims 1 to 7 onto a mask blank, (2) heat treating and exposing the resulting resist film in vacuum to electron beam, and (3) developing with a developer.
EP20110193475 2010-12-14 2011-12-14 Resist composition and patterning process Active EP2466379B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010277875A JP5282781B2 (en) 2010-12-14 2010-12-14 Resist material and pattern forming method

Publications (2)

Publication Number Publication Date
EP2466379A1 true EP2466379A1 (en) 2012-06-20
EP2466379B1 EP2466379B1 (en) 2013-07-17

Family

ID=45418410

Family Applications (1)

Application Number Title Priority Date Filing Date
EP20110193475 Active EP2466379B1 (en) 2010-12-14 2011-12-14 Resist composition and patterning process

Country Status (5)

Country Link
US (1) US8916331B2 (en)
EP (1) EP2466379B1 (en)
JP (1) JP5282781B2 (en)
KR (1) KR101679087B1 (en)
TW (1) TWI447127B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015142756A1 (en) 2014-03-17 2015-09-24 Kla-Tencor Corporation Model for accurate photoresist profile prediction
EP3168207A1 (en) * 2015-11-10 2017-05-17 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP3205640A1 (en) * 2016-02-10 2017-08-16 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP3572876A1 (en) 2018-05-25 2019-11-27 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, negative resist composition, photomask blank, and resist pattern forming process

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011125684A1 (en) * 2010-03-31 2011-10-13 Jsr株式会社 Radiation-sensitive resin composition, resist pattern formation method, polymer and compound
JP5824320B2 (en) * 2010-10-26 2015-11-25 住友化学株式会社 Resist composition and method for producing resist pattern
JP6088133B2 (en) * 2010-12-15 2017-03-01 住友化学株式会社 Resist composition and method for producing resist pattern
EP2472320A2 (en) * 2010-12-30 2012-07-04 Rohm and Haas Electronic Materials LLC Compositions comprising base-reactive component and processes for photolithography
JP5947051B2 (en) * 2011-02-25 2016-07-06 住友化学株式会社 Resist composition and method for producing resist pattern
JP6034026B2 (en) * 2011-02-25 2016-11-30 住友化学株式会社 Resist composition and method for producing resist pattern
JP6035887B2 (en) * 2011-06-21 2016-11-30 セントラル硝子株式会社 Positive resist composition
JP5556765B2 (en) * 2011-08-05 2014-07-23 信越化学工業株式会社 Chemically amplified positive resist material for ArF immersion exposure and pattern forming method
JP5617810B2 (en) * 2011-10-04 2014-11-05 信越化学工業株式会社 Resist protective film material and pattern forming method
JP5814103B2 (en) * 2011-12-16 2015-11-17 東京応化工業株式会社 Method for manufacturing thick film photoresist pattern
JP5737242B2 (en) 2012-08-10 2015-06-17 信越化学工業株式会社 Monomer, polymer compound, resist composition and pattern forming method
JP5780221B2 (en) 2012-08-20 2015-09-16 信越化学工業株式会社 Pattern formation method
JP5817744B2 (en) 2013-01-17 2015-11-18 信越化学工業株式会社 Pattern forming method, resist composition, polymer compound and monomer
TWI523872B (en) * 2013-02-25 2016-03-01 羅門哈斯電子材料有限公司 Photosensitive copolymer, photoresist comprising the copolymer, and method of forming an electronic device
JP5803957B2 (en) 2013-03-05 2015-11-04 信越化学工業株式会社 Pattern forming method and resist composition
JP6065862B2 (en) 2013-04-10 2017-01-25 信越化学工業株式会社 Pattern forming method, resist composition, polymer compound and monomer
JP6213296B2 (en) 2013-04-10 2017-10-18 信越化学工業株式会社 Pattern forming method using developer
JP6317095B2 (en) * 2013-11-29 2018-04-25 東京応化工業株式会社 Resist composition, resist pattern forming method, polymer compound and compound
JP6137046B2 (en) 2014-05-09 2017-05-31 信越化学工業株式会社 Monomer, polymer compound, resist material and pattern forming method
JP6384424B2 (en) 2014-09-04 2018-09-05 信越化学工業株式会社 Resist composition and pattern forming method
JP6782070B2 (en) * 2014-11-26 2020-11-11 住友化学株式会社 Method for manufacturing resist composition and resist pattern
EP3035121B1 (en) 2014-12-18 2019-03-13 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
JP6963887B2 (en) * 2015-10-06 2021-11-10 住友化学株式会社 Method for manufacturing resist composition and resist pattern

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09246173A (en) 1996-03-08 1997-09-19 Canon Sales Co Inc Method for coating
JP2000336121A (en) 1998-11-02 2000-12-05 Shin Etsu Chem Co Ltd New ester compound, high polymer compound, resist material and pattern-forming method
WO2005042453A1 (en) 2003-10-31 2005-05-12 Asahi Glass Company, Limited Fluorine compound, fluoropolymer, and process for producing the same
WO2005069676A1 (en) 2004-01-16 2005-07-28 First Aid Card Enterprises Ab Apparatus and method for storing and distributing information in an emergency situation
JP2006048029A (en) 2004-07-07 2006-02-16 Fuji Photo Film Co Ltd Positive type resist composition for use in liquid immersion exposure and pattern forming method using the same
JP2006309245A (en) 2005-05-01 2006-11-09 Rohm & Haas Electronic Materials Llc Composition and method for immersion lithography
US20070122736A1 (en) 2005-10-17 2007-05-31 Shin-Etsu Chemical Co., Ltd. Resist protective film material and pattern formation method
JP2007187887A (en) 2005-12-12 2007-07-26 Tokyo Ohka Kogyo Co Ltd Resist composition for liquid immersion exposure, and method for forming resist pattern
US20070179309A1 (en) * 2006-01-31 2007-08-02 Shin-Etsu Chemical Co., Ltd. Fluoroalcohol preparation method, fluorinated monomer, polymer, resist composition and patterning process
US20070178407A1 (en) * 2006-01-31 2007-08-02 Shin-Etsu Chemical Co., Ltd. Polymer, resist protective coating material, and patterning process
JP2008111103A (en) 2006-10-04 2008-05-15 Shin Etsu Chem Co Ltd Polymer compound, resist material, and pattern-forming method
JP2008122932A (en) 2006-10-17 2008-05-29 Shin Etsu Chem Co Ltd Resist material and patterning process using the same
US7537880B2 (en) 2006-10-04 2009-05-26 Shin-Etsu Chemical Co., Ltd. Polymer, resist composition, and patterning process
US20090274978A1 (en) 2008-05-01 2009-11-05 Masaki Ohashi Novel photoacid generator, resist composition, and patterning process
US20100136482A1 (en) * 2008-12-02 2010-06-03 Yuji Harada Resist composition and patterning process
JP2010218249A (en) 2009-03-17 2010-09-30 Konica Minolta Business Technologies Inc Document image processing apparatus, document image processing method, and document image processing program

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312867B1 (en) 1998-11-02 2001-11-06 Shin-Etsu Chemical Co., Ltd. Ester compounds, polymers, resist compositions and patterning process
US6939662B2 (en) * 2002-05-31 2005-09-06 Fuji Photo Film Co., Ltd. Positive-working resist composition
US7432042B2 (en) * 2003-12-03 2008-10-07 United Microelectronics Corp. Immersion lithography process and mask layer structure applied in the same
EP1621927B1 (en) 2004-07-07 2018-05-23 FUJIFILM Corporation Positive type resist composition for use in liquid immersion exposure and a method of forming the pattern using the same
KR101242332B1 (en) * 2006-10-17 2013-03-12 신에쓰 가가꾸 고교 가부시끼가이샤 Resist Composition and Patterning Process
JP4475435B2 (en) * 2007-07-30 2010-06-09 信越化学工業株式会社 Fluorine-containing monomer, fluorine-containing polymer compound, resist material, and pattern forming method
JP5398248B2 (en) * 2008-02-06 2014-01-29 東京応化工業株式会社 Resist composition for immersion exposure and resist pattern forming method using the same
TWI417274B (en) * 2008-12-04 2013-12-01 Shinetsu Chemical Co Sulfonium salt, acid generator, resist composition, photomask blank, and patterning process
US8835094B2 (en) * 2010-09-29 2014-09-16 Shin-Etsu Chemical Co., Ltd. Fluoroalcohol, fluorinated monomer, polymer, resist composition and patterning process

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09246173A (en) 1996-03-08 1997-09-19 Canon Sales Co Inc Method for coating
JP2000336121A (en) 1998-11-02 2000-12-05 Shin Etsu Chem Co Ltd New ester compound, high polymer compound, resist material and pattern-forming method
WO2005042453A1 (en) 2003-10-31 2005-05-12 Asahi Glass Company, Limited Fluorine compound, fluoropolymer, and process for producing the same
WO2005069676A1 (en) 2004-01-16 2005-07-28 First Aid Card Enterprises Ab Apparatus and method for storing and distributing information in an emergency situation
JP2006048029A (en) 2004-07-07 2006-02-16 Fuji Photo Film Co Ltd Positive type resist composition for use in liquid immersion exposure and pattern forming method using the same
JP2006309245A (en) 2005-05-01 2006-11-09 Rohm & Haas Electronic Materials Llc Composition and method for immersion lithography
US20070122736A1 (en) 2005-10-17 2007-05-31 Shin-Etsu Chemical Co., Ltd. Resist protective film material and pattern formation method
JP2007140446A (en) 2005-10-17 2007-06-07 Shin Etsu Chem Co Ltd Resist protective film material and pattern forming method
JP2007187887A (en) 2005-12-12 2007-07-26 Tokyo Ohka Kogyo Co Ltd Resist composition for liquid immersion exposure, and method for forming resist pattern
US20090053650A1 (en) * 2005-12-12 2009-02-26 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure and method of forming resist pattern
US20070179309A1 (en) * 2006-01-31 2007-08-02 Shin-Etsu Chemical Co., Ltd. Fluoroalcohol preparation method, fluorinated monomer, polymer, resist composition and patterning process
US20070178407A1 (en) * 2006-01-31 2007-08-02 Shin-Etsu Chemical Co., Ltd. Polymer, resist protective coating material, and patterning process
JP2008111103A (en) 2006-10-04 2008-05-15 Shin Etsu Chem Co Ltd Polymer compound, resist material, and pattern-forming method
US7537880B2 (en) 2006-10-04 2009-05-26 Shin-Etsu Chemical Co., Ltd. Polymer, resist composition, and patterning process
JP2008122932A (en) 2006-10-17 2008-05-29 Shin Etsu Chem Co Ltd Resist material and patterning process using the same
US20090274978A1 (en) 2008-05-01 2009-11-05 Masaki Ohashi Novel photoacid generator, resist composition, and patterning process
JP2009269953A (en) 2008-05-01 2009-11-19 Shin Etsu Chem Co Ltd New photoacid generator, resist material using the same, and pattern forming method
US20100136482A1 (en) * 2008-12-02 2010-06-03 Yuji Harada Resist composition and patterning process
JP2010218249A (en) 2009-03-17 2010-09-30 Konica Minolta Business Technologies Inc Document image processing apparatus, document image processing method, and document image processing program

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
PROC. SPIE, vol. 4690, 2002, pages 18
PROC. SPIE, vol. 4690, 2002, pages XXIX
PROC. SPIE, vol. 5040, 2003, pages 724
PROC. SPIE, vol. 6519, 2007, pages 651905
SHIROTA N ET AL: "Development of non-topcoat resist polymers for 193-nm immersion lithography", PROCEEDINGS OF SPIE, SPIE, US, vol. 6519, 2 April 2007 (2007-04-02), pages 651905 - 1, XP002508392, ISSN: 0277-786X, DOI: 10.1117/12.712057 *
XXIV FATIPEC CONGRESS BOOK, vol. B, 1997, pages 15

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015142756A1 (en) 2014-03-17 2015-09-24 Kla-Tencor Corporation Model for accurate photoresist profile prediction
US9733576B2 (en) 2014-03-17 2017-08-15 Kla-Tencor Corporation Model for accurate photoresist profile prediction
EP3168207A1 (en) * 2015-11-10 2017-05-17 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
KR20170055000A (en) * 2015-11-10 2017-05-18 신에쓰 가가꾸 고교 가부시끼가이샤 Monomer, polymer, resist composition, and patterning process
EP3415494A1 (en) * 2015-11-10 2018-12-19 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP3205640A1 (en) * 2016-02-10 2017-08-16 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US10023674B2 (en) 2016-02-10 2018-07-17 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP3572876A1 (en) 2018-05-25 2019-11-27 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, negative resist composition, photomask blank, and resist pattern forming process

Also Published As

Publication number Publication date
JP5282781B2 (en) 2013-09-04
TW201235362A (en) 2012-09-01
KR101679087B1 (en) 2016-11-23
JP2012128067A (en) 2012-07-05
TWI447127B (en) 2014-08-01
US20120148945A1 (en) 2012-06-14
US8916331B2 (en) 2014-12-23
EP2466379B1 (en) 2013-07-17
KR20120066592A (en) 2012-06-22

Similar Documents

Publication Publication Date Title
EP2466379B1 (en) Resist composition and patterning process
US8420292B2 (en) Polymer, resist composition, and patterning process
US8268528B2 (en) Resist composition and patterning process
US8313886B2 (en) Resist composition and patterning process
KR101362582B1 (en) Resist protective coating composition and patterning process
US8933251B2 (en) Fluorinated monomer of cyclic acetal structure, polymer, resist protective coating composition, resist composition, and patterning process
US8945809B2 (en) Fluorinated monomer, fluorinated polymer, resist composition, and patterning process
US9115074B2 (en) Fluorinated monomer, polymer, resist composition, and patterning process
US9235122B2 (en) Monomer, polymer, resist composition, and patterning process
US20110305979A1 (en) Resist top coat composition and patterning process
JP2013073019A (en) Resist protective film material and pattern formation method

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

17P Request for examination filed

Effective date: 20120815

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: AT

Ref legal event code: REF

Ref document number: 622564

Country of ref document: AT

Kind code of ref document: T

Effective date: 20130815

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 602011002397

Country of ref document: DE

Effective date: 20130912

REG Reference to a national code

Ref country code: AT

Ref legal event code: MK05

Ref document number: 622564

Country of ref document: AT

Kind code of ref document: T

Effective date: 20130717

REG Reference to a national code

Ref country code: NL

Ref legal event code: VDEP

Effective date: 20130717

REG Reference to a national code

Ref country code: LT

Ref legal event code: MG4D

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130828

Ref country code: NO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20131017

Ref country code: HR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: LT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20131118

Ref country code: IS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20131117

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20131018

Ref country code: SI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: LV

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: PL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20131028

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: CZ

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: RO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: EE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

26N No opposition filed

Effective date: 20140422

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 602011002397

Country of ref document: DE

Effective date: 20140422

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20131214

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20131214

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SM

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: TR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: BG

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

Ref country code: RS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20131017

Ref country code: HU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT; INVALID AB INITIO

Effective date: 20111214

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20141231

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20141231

REG Reference to a national code

Ref country code: FR

Ref legal event code: PLFP

Year of fee payment: 5

REG Reference to a national code

Ref country code: FR

Ref legal event code: PLFP

Year of fee payment: 6

REG Reference to a national code

Ref country code: FR

Ref legal event code: PLFP

Year of fee payment: 7

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: AL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130717

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20231102

Year of fee payment: 13

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 20231108

Year of fee payment: 13

Ref country code: DE

Payment date: 20231031

Year of fee payment: 13