JP5780221B2 - Pattern formation method - Google Patents

Pattern formation method Download PDF

Info

Publication number
JP5780221B2
JP5780221B2 JP2012181286A JP2012181286A JP5780221B2 JP 5780221 B2 JP5780221 B2 JP 5780221B2 JP 2012181286 A JP2012181286 A JP 2012181286A JP 2012181286 A JP2012181286 A JP 2012181286A JP 5780221 B2 JP5780221 B2 JP 5780221B2
Authority
JP
Japan
Prior art keywords
group
methyl
pattern
carbon atoms
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012181286A
Other languages
Japanese (ja)
Other versions
JP2014038257A (en
Inventor
長谷川 幸士
幸士 長谷川
畠山 潤
畠山  潤
正義 提箸
正義 提箸
鉄平 阿達
鉄平 阿達
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2012181286A priority Critical patent/JP5780221B2/en
Priority to KR1020130095193A priority patent/KR101687056B1/en
Priority to US13/968,583 priority patent/US9017931B2/en
Priority to TW102129701A priority patent/TWI471698B/en
Publication of JP2014038257A publication Critical patent/JP2014038257A/en
Application granted granted Critical
Publication of JP5780221B2 publication Critical patent/JP5780221B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C219/00Compounds containing amino and esterified hydroxy groups bound to the same carbon skeleton
    • C07C219/02Compounds containing amino and esterified hydroxy groups bound to the same carbon skeleton having esterified hydroxy groups and amino groups bound to acyclic carbon atoms of the same carbon skeleton
    • C07C219/04Compounds containing amino and esterified hydroxy groups bound to the same carbon skeleton having esterified hydroxy groups and amino groups bound to acyclic carbon atoms of the same carbon skeleton the carbon skeleton being acyclic and saturated
    • C07C219/08Compounds containing amino and esterified hydroxy groups bound to the same carbon skeleton having esterified hydroxy groups and amino groups bound to acyclic carbon atoms of the same carbon skeleton the carbon skeleton being acyclic and saturated having at least one of the hydroxy groups esterified by a carboxylic acid having the esterifying carboxyl group bound to an acyclic carbon atom of an acyclic unsaturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C303/00Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides
    • C07C303/32Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides of salts of sulfonic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/20Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic unsaturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/52Esters of acyclic unsaturated carboxylic acids having the esterified carboxyl group bound to an acyclic carbon atom
    • C07C69/533Monocarboxylic acid esters having only one carbon-to-carbon double bond
    • C07C69/54Acrylic acid esters; Methacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F228/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur
    • C08F228/02Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur by a bond to sulfur
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F232/00Copolymers of cyclic compounds containing no unsaturated aliphatic radicals in a side chain, and having one or more carbon-to-carbon double bonds in a carbocyclic ring system
    • C08F232/02Copolymers of cyclic compounds containing no unsaturated aliphatic radicals in a side chain, and having one or more carbon-to-carbon double bonds in a carbocyclic ring system having no condensed rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/382Esters containing sulfur and containing oxygen, e.g. 2-sulfoethyl (meth)acrylate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester

Description

本発明は、露光後、酸と熱によって脱保護反応を行い、特定の有機溶剤による現像によって未露光部分が溶解し、露光部分が溶解しないネガティブトーンを形成するためのパターン形成方法に関する。 The present invention is, after exposure, subjected to deprotection reaction by acid and heat, the unexposed portion is dissolved by development with specific organic solvent relates to the patterning of how to form a negative tone exposed portion is not dissolved.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光では、光源の波長に由来する本質的な解像度の限界に近づきつつある。レジストパターン形成の際に使用する露光光として、1980年代には水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられた。更なる微細化のための手段として、露光波長を短波長化する方法が有効とされ、1990年代の64Mビット(加工寸法が0.25μm以下)DRAM(ダイナミック・ランダム・アクセス・メモリー)以降の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用された。しかし、更に微細な加工技術(加工寸法が0.2μm以下)を必要とする集積度256M及び1G以上のDRAMの製造には、より短波長の光源が必要とされ、10年ほど前からArFエキシマレーザー(193nm)を用いたフォトリソグラフィーが本格的に検討されてきた。当初ArFリソグラフィーは180nmノードのデバイス作製から適用されるはずであったが、KrFエキシマリソグラフィーは130nmノードデバイス量産まで延命され、ArFリソグラフィーの本格適用は90nmノードからである。更に、NAを0.9にまで高めたレンズと組み合わせて65nmノードデバイスが量産された。次の45nmノードデバイスには露光波長の短波長化が推し進められ、波長157nmのF2リソグラフィーが候補に挙がった。しかしながら、投影レンズに高価なCaF2単結晶を大量に用いることによるスキャナーのコストアップ、ソフトペリクルの耐久性が極めて低いためのハードペリクル導入に伴う光学系の変更、レジスト膜のエッチング耐性低下等の種々問題により、F2リソグラフィーの開発が中止され、ArF液浸リソグラフィーが導入された。 In recent years, with the higher integration and higher speed of LSIs, there is a demand for finer pattern rules. In light exposure currently used as a general-purpose technology, the intrinsic resolution limit derived from the wavelength of the light source Is approaching. As exposure light used for forming a resist pattern, light exposure using g-ray (436 nm) or i-line (365 nm) of a mercury lamp as a light source was widely used in the 1980s. As a means for further miniaturization, the method of shortening the exposure wavelength is effective, and mass production after 64 Mbit (process size is 0.25 μm or less) DRAM (Dynamic Random Access Memory) in the 1990s In the process, a KrF excimer laser (248 nm) having a short wavelength was used as an exposure light source instead of i-line (365 nm). However, in order to manufacture DRAMs with a density of 256M and 1G or more that require finer processing technology (processing dimensions of 0.2 μm or less), a light source with a shorter wavelength is required, and an ArF excimer has been used for about 10 years. Photolithography using a laser (193 nm) has been studied in earnest. Initially, ArF lithography was supposed to be applied from the device fabrication of the 180 nm node, but KrF excimer lithography was extended to 130 nm node device mass production, and full-scale application of ArF lithography is from the 90 nm node. Furthermore, 65 nm node devices were mass-produced in combination with lenses whose NA was increased to 0.9. For the next 45 nm node device, the exposure wavelength has been shortened, and F 2 lithography with a wavelength of 157 nm was nominated. However, the cost of the scanner is increased by using a large amount of expensive CaF 2 single crystal for the projection lens, the optical system is changed due to the introduction of the hard pellicle because the durability of the soft pellicle is extremely low, and the etching resistance of the resist film is reduced. Due to various problems, the development of F 2 lithography was discontinued and ArF immersion lithography was introduced.

ArF液浸リソグラフィーにおいては、投影レンズとウエハーの間に屈折率1.44の水がパーシャルフィル方式によって挿入され、これによって高速スキャンが可能となり、NA1.3級のレンズによって45nmノードデバイスの量産が行われている。   In ArF immersion lithography, water with a refractive index of 1.44 is inserted between the projection lens and the wafer by a partial fill method, thereby enabling high-speed scanning, and mass production of 45 nm node devices is possible with NA1.3 class lenses. Has been done.

32nmノードのリソグラフィー技術としては、波長13.5nmの真空紫外光(EUV)リソグラフィーが候補に挙げられている。EUVリソグラフィーの問題点としてはレーザーの高出力化、レジスト膜の高感度化、高解像度化、低エッジラフネス(LER、LWR)化、無欠陥MoSi積層マスク、反射ミラーの低収差化等が挙げられ、克服すべき問題が山積している。   As a lithography technique for the 32 nm node, vacuum ultraviolet light (EUV) lithography with a wavelength of 13.5 nm is cited as a candidate. Problems with EUV lithography include higher laser output, higher resist film sensitivity, higher resolution, lower edge roughness (LER, LWR), defect-free MoSi multilayer mask, and lower reflection mirror aberration. There are a lot of problems to overcome.

32nmノードのもう一つの候補の高屈折率液浸リソグラフィーは、高屈折率レンズ候補であるLUAGの透過率が低いことと、液体の屈折率が目標の1.8に届かなかったことによって開発が中止された。   Another candidate for high refractive index immersion lithography for the 32 nm node was developed because of the low transmittance of LUAG, which is a high refractive index lens candidate, and the liquid refractive index did not reach the target of 1.8. Canceled.

ここで最近注目を浴びているのは1回目の露光と現像でパターンを形成し、2回目の露光で1回目のパターンの丁度間にパターンを形成するダブルパターニングプロセスである。ダブルパターニングの方法としては多くのプロセスが提案されている。例えば、1回目の露光と現像でラインとスペースが1:3の間隔のフォトレジストパターンを形成し、ドライエッチングで下層のハードマスクを加工し、その上にハードマスクをもう1層敷いて1回目の露光のスペース部分にフォトレジスト膜の露光と現像でラインパターンを形成してハードマスクをドライエッチングで加工して初めのパターンのピッチの半分のラインアンドスペースパターンを形成する方法である。また、1回目の露光と現像でスペースとラインが1:3の間隔のフォトレジストパターンを形成し、下層のハードマスクをドライエッチングで加工し、その上にフォトレジスト膜を塗布してハードマスクが残っている部分に2回目のスペースパターンを露光しハードマスクをドライエッチングで加工する。いずれも2回のドライエッチングでハードマスクを加工する。   Recently, a double patterning process in which a pattern is formed by the first exposure and development, and a pattern is formed just between the first pattern by the second exposure has attracted attention recently. Many processes have been proposed as a double patterning method. For example, the first exposure and development form a photoresist pattern with 1: 3 line and space spacing, the lower hard mask is processed by dry etching, and another hard mask is laid on the first hard mask. In this exposure method, a line pattern is formed by exposure and development of a photoresist film in a space portion of the exposure, and a hard mask is processed by dry etching to form a line-and-space pattern that is half the pitch of the initial pattern. Further, a photoresist pattern having a space and line spacing of 1: 3 is formed by the first exposure and development, the underlying hard mask is processed by dry etching, and a photoresist film is applied thereon to form a hard mask. The remaining space pattern is exposed to the remaining portion and the hard mask is processed by dry etching. In either case, the hard mask is processed by two dry etchings.

ラインパターンに比べてホールパターンは微細化が困難である。従来法で細かなホールを形成するために、ポジ型レジスト膜にホールパターンマスクを組み合わせてアンダー露光で形成しようとすると、露光マージンが極めて狭くなってしまう。そこで、大きなサイズのホールを形成し、サーマルフローやRELACSTM法等で現像後のホールをシュリンクする方法が提案されている。しかしながら、現像後のパターンサイズとシュリンク後のサイズの差が大きく、シュリンク量が大きいほど制御精度が低下する問題がある。また、ホールシュリンク法ではホールのサイズは縮小可能であるがピッチを狭くすることはできない。
ポジ型レジスト膜を用いてダイポール照明によりX方向のラインパターンを形成し、レジストパターンを硬化させ、その上にもう一度レジスト組成物を塗布し、ダイポール照明でY方向のラインパターンを露光し、格子状ラインパターンの隙間よりホールパターンを形成する方法(非特許文献1:Proc. SPIE Vol. 5377, p.255 (2004))が提案されている。高コントラストなダイポール照明によるX、Yラインを組み合わせることによって広いマージンでホールパターンを形成できるが、上下に組み合わされたラインパターンを寸法精度高くエッチングすることはむずかしい。X方向ラインのレベンソン型位相シフトマスクとY方向ラインのレベンソン型位相シフトマスクを組み合わせてネガ型レジスト膜を露光してホールパターンを形成する方法が提案されている(非特許文献2:IEEE IEDM Tech. Digest 61 (1996))。但し、架橋型ネガ型レジスト膜は超微細ホールの限界解像度がブリッジマージンで決まるために、解像力がポジ型レジスト膜に比べて低い欠点がある。
It is difficult to make a hole pattern finer than a line pattern. If a hole pattern mask is combined with a positive resist film in order to form a fine hole by a conventional method, an exposure margin becomes extremely narrow. Therefore, a method has been proposed in which a hole having a large size is formed and the hole after development is shrunk by a thermal flow, a RELACS method or the like. However, there is a problem that the difference between the pattern size after development and the size after shrinking is large, and the control accuracy decreases as the shrink amount increases. In the hall shrink method, the hole size can be reduced, but the pitch cannot be reduced.
A positive resist film is used to form a line pattern in the X direction by dipole illumination, the resist pattern is cured, a resist composition is again applied thereon, and the line pattern in the Y direction is exposed by dipole illumination to form a lattice pattern. A method of forming a hole pattern from a gap between line patterns has been proposed (Non-patent Document 1: Proc. SPIE Vol. 5377, p. 255 (2004)). A hole pattern can be formed with a wide margin by combining X and Y lines by high-contrast dipole illumination, but it is difficult to etch the line pattern combined vertically with high dimensional accuracy. A method of forming a hole pattern by exposing a negative resist film by combining a Levenson type phase shift mask for the X direction line and a Levenson type phase shift mask for the Y direction line has been proposed (Non-Patent Document 2: IEEE IEDM Tech). Digest 61 (1996)). However, the bridged negative resist film has a drawback that the resolution is lower than that of the positive resist film because the limit resolution of the ultrafine holes is determined by the bridge margin.

X方向のラインとY方向のラインの2回露光を組み合わせて露光し、これを画像反転によってネガパターンにすることによって形成されるホールパターンは、高コントラストなラインパターンの光を用いることによって形成が可能であるために、従来の方法よりもより狭ピッチでかつ微細なホールを開口できる。   The hole pattern formed by exposing the X-direction line and the Y-direction line to a double exposure and combining it with a negative pattern by image inversion can be formed by using a high-contrast line pattern light. Therefore, it is possible to open fine holes with a narrower pitch than the conventional method.

非特許文献3(Proc. SPIE Vol. 7274, p.72740N (2009))では、以下3つの方法による画像反転によるホールパターンの作製が報告されている。
即ち、ポジ型レジスト組成物のX、Yラインのダブルダイポールの2回露光によりドットパターンを作製し、この上にLPCVDでSiO2膜を形成し、O2−RIEでドットをホールに反転させる方法、加熱によってアルカリ可溶で溶剤不溶になる特性のレジスト組成物を用いて同じ方法でドットパターンを形成し、この上にフェノール系のオーバーコート膜を塗布してアルカリ現像によって画像反転させてホールパターンを形成する方法、ポジ型レジスト組成物を用いてダブルダイポール露光、有機溶剤現像による画像反転によってホールを形成する方法である。
Non-Patent Document 3 (Proc. SPIE Vol. 7274, p.72740N (2009)) reports the production of a hole pattern by image inversion by the following three methods.
That is, a method of forming a dot pattern by double exposure of a double dipole of X and Y lines of a positive resist composition, forming an SiO 2 film thereon by LPCVD, and inverting the dots into holes by O 2 -RIE A dot pattern is formed in the same way using a resist composition that becomes alkali-soluble and solvent-insoluble by heating, and a phenol-based overcoat film is applied thereon, and the image is inverted by alkali development to form a hole pattern. And a method of forming holes by double dipole exposure using a positive resist composition and image reversal by organic solvent development.

ここで、有機溶剤現像によるネガパターンの作製は古くから用いられている手法である。環化ゴム系のレジスト組成物はキシレン等のアルケンを現像液として用いており、ポリ−tert−ブトキシカルボニルオキシスチレンベースの初期の化学増幅型レジスト組成物はアニソールを現像液としてネガパターンを得ていた。   Here, production of a negative pattern by organic solvent development is a technique that has been used for a long time. The cyclized rubber-based resist composition uses an alkene such as xylene as a developer, and the initial chemically amplified resist composition based on poly-tert-butoxycarbonyloxystyrene has a negative pattern using anisole as a developer. It was.

近年、有機溶剤現像が再び脚光を浴びている。ポジティブトーンでは達成できない非常に微細なホールパターンをネガティブトーンの露光で解像するために、解像性の高いポジ型レジスト組成物を用いた有機溶剤現像でネガパターンを形成するのである。更に、アルカリ現像と有機溶剤現像の2回の現像を組み合わせることにより、2倍の解像力を得る検討も進められている。
有機溶剤によるネガティブトーン現像用のArFレジスト組成物としては、従来型のポジ型ArFレジスト組成物を用いることができ、特許文献1〜3(特開2008−281974号公報、特開2008−281975号公報、特許第4554665号公報)にパターン形成方法が示されている。
In recent years, organic solvent development has attracted attention again. In order to resolve a very fine hole pattern that cannot be achieved by positive tone by negative tone exposure, a negative pattern is formed by organic solvent development using a positive resist composition having high resolution. Further, studies are being made to obtain double resolution by combining two developments, alkali development and organic solvent development.
As an ArF resist composition for negative tone development using an organic solvent, conventional positive ArF resist compositions can be used, and Patent Documents 1 to 3 (Japanese Patent Laid-Open Nos. 2008-281974 and 2008-281975). (Patent Publication No. 4554665) discloses a pattern forming method.

これらの出願において、ヒドロキシアダマンタンメタクリレートを共重合、ノルボルナンラクトンメタクリレートを共重合、あるいはカルボキシル基、スルホ基、フェノール基、チオール基等の酸性基を2種以上の酸不安定基で置換したメタクリレートを共重合した有機溶剤現像用レジスト組成物及びこれを用いたパターン形成方法が提案されている。
有機溶剤現像プロセスにおいて、レジスト膜上に保護膜を適用するパターン形成方法としては、特許文献4(特許第4590431号公報)に公開されている。
有機溶剤現像プロセスにおいて、レジスト組成物としてスピンコート後のレジスト膜表面に配向して撥水性を向上させる添加剤を用いて、トップコートを用いないパターン形成方法としては、特許文献5(特開2008−309879号公報)に示されている。
In these applications, hydroxyadamantane methacrylate is copolymerized, norbornane lactone methacrylate is copolymerized, or a methacrylate in which acidic groups such as carboxyl group, sulfo group, phenol group, and thiol group are substituted with two or more acid labile groups. A polymerized resist composition for developing an organic solvent and a pattern forming method using the same have been proposed.
A pattern forming method for applying a protective film on a resist film in an organic solvent development process is disclosed in Patent Document 4 (Japanese Patent No. 4590431).
In an organic solvent development process, as a resist composition, a pattern forming method without using a topcoat by using an additive that aligns on the surface of a resist film after spin coating and improves water repellency is disclosed in Patent Document 5 (Japanese Patent Application Laid-Open Publication No. 2008-2008). -309879).

脱保護反応によってカルボキシル基が発生し、アルカリ水現像液との中和反応によって溶解速度が向上するポジティブ現像における未露光部と露光部の溶解速度の比率は1,000倍以上であり、大きな溶解コントラストを得ている。一方、有機溶剤現像によるネガティブ現像における溶媒和による未露光部分の溶解速度は遅く、露光部と未露光部の溶解速度の比率は100倍以下である。有機溶剤現像によるネガティブ現像においては、溶解コントラスト拡大のために、新たな材料開発の必要がある。   Carboxyl groups are generated by the deprotection reaction, and the dissolution rate is improved by the neutralization reaction with the alkaline water developer. Contrast is gained. On the other hand, the dissolution rate of the unexposed part due to solvation in the negative development by organic solvent development is slow, and the ratio of the dissolution rate between the exposed part and the unexposed part is 100 times or less. In negative development by organic solvent development, it is necessary to develop a new material in order to increase the dissolution contrast.

特許文献6(特開2002−341539号公報)にシクロヘキシル環に直結したイソプロピルの3級エステル型の酸不安定基が例示されている。これはアルカリ現像液のポジ型レジスト組成物に適用された例であるが、環に直結した分岐アルキル基は現像液中の膨潤を引き起こし、現像後のパターンの倒れやパターン間のブリッジが発生し易くなったため、このような酸不安定基がポジ型レジスト用に用いられることはなかった。   Patent Document 6 (Japanese Patent Laid-Open No. 2002-341539) exemplifies a tertiary ester type acid labile group of isopropyl directly bonded to a cyclohexyl ring. This is an example applied to a positive resist composition of an alkaline developer, but the branched alkyl group directly connected to the ring causes swelling in the developer, resulting in pattern collapse after development and bridging between patterns. Such an acid labile group was never used for a positive resist because it became easier.

特開2008−281974号公報JP 2008-281974 A 特開2008−281975号公報JP 2008-281975 A 特許第4554665号公報Japanese Patent No. 4554665 特許第4590431号公報Japanese Patent No. 4590431 特開2008−309879号公報JP 2008-309879 A 特開2002−341539号公報JP 2002-341539 A

Proc. SPIE Vol. 5377, p.255 (2004)Proc. SPIE Vol. 5377, p. 255 (2004) IEEE IEDM Tech. Digest 61 (1996)IEEE IEDM Tech. Digest 61 (1996) Proc. SPIE Vol. 7274, p.72740N (2009)Proc. SPIE Vol. 7274, p. 72740N (2009)

脱保護反応によって酸性のカルボキシル基やフェノール基などが生成し、アルカリ現像液に溶解するポジ型レジストシステムに比べると、有機溶剤現像の溶解コントラストは低い。アルカリ現像液の場合、未露光部と露光部のアルカリ溶解速度の割合は1,000倍以上の違いがあるが、有機溶剤現像の場合ではせいぜい100倍、材料によっては10倍程度の違いしかない。これでは十分なマージンを確保する事はできない。アルカリ水現像の場合はカルボキシル基との中和反応によって溶解速度が向上するが、有機溶剤現像の場合は反応を伴うことがなく、溶媒和による溶解だけなので溶解速度が低い。未露光部の溶解速度の向上だけでなく、膜が残る部分の露光領域での溶解速度を低くすることも必要である。露光部分の溶解速度が大きいと残膜厚が低くなって、現像後のパターンのエッチングによる下地の加工ができなくなる。更には、溶解から不溶解になる露光量に対する傾き(γ)を高くすることが重要である。γが低いと逆テーパー形状になり易く、ラインパターンではパターン倒れが生じ好ましくない。垂直なパターンを得るためには、なるべく高γの溶解コントラストである必要がある。   Compared with a positive resist system in which acidic carboxyl groups or phenol groups are generated by the deprotection reaction and dissolved in an alkaline developer, the dissolution contrast of organic solvent development is low. In the case of an alkali developer, the ratio of the alkali dissolution rate between the unexposed area and the exposed area is 1,000 times or more, but in the case of organic solvent development, it is at most 100 times, and depending on the material, there is only a difference of about 10 times. . This cannot secure a sufficient margin. In the case of alkaline water development, the dissolution rate is improved by a neutralization reaction with a carboxyl group, but in the case of organic solvent development, there is no reaction, and the dissolution rate is low because it is only dissolution by solvation. In addition to improving the dissolution rate of unexposed areas, it is also necessary to lower the dissolution rate in the exposed areas where the film remains. When the dissolution rate of the exposed portion is high, the remaining film thickness becomes low, and it becomes impossible to process the base by etching the pattern after development. Furthermore, it is important to increase the slope (γ) with respect to the exposure amount from dissolution to insolubility. If γ is low, a reverse taper shape is likely to occur, and pattern collapse occurs in a line pattern, which is not preferable. In order to obtain a vertical pattern, it is necessary that the dissolution contrast be as high as possible.

前述の特許文献1〜3には、従来型のアルカリ水溶液現像型のフォトレジスト組成物が記載されているが、これらの有機溶剤現像における溶解コントラストは低い。露光部と未露光部の溶解速度差を大きくし、かつ溶解のコントラスト(γ)を高くするための新規な材料開発が望まれている。
ネガティブ現像でホールを形成しようとする場合、ホールの外側は光が当たっており、酸が過剰に発生している。酸がホールの内側に拡散してくるとホールが開口しなくなるため、酸拡散の制御も重要である。
Patent Documents 1 to 3 described above describe conventional alkaline aqueous solution development type photoresist compositions, but their dissolution contrast in organic solvent development is low. Development of a new material for increasing the difference in dissolution rate between the exposed area and the unexposed area and increasing the dissolution contrast (γ) is desired.
When a hole is to be formed by negative development, the outside of the hole is exposed to light, and an acid is excessively generated. Control of acid diffusion is also important because the holes do not open when the acid diffuses inside the holes.

本発明は上記事情に鑑みなされたもので、有機溶剤現像において溶解コントラストが大きく、かつ高感度なレジスト組成物及び有機溶剤による現像によってポジネガ反転によるホールパターンやトレンチパターンを形成することができるパターン形成方法を提供することを目的とするものである。   The present invention has been made in view of the above circumstances, and is capable of forming a hole pattern or a trench pattern by positive / negative reversal by developing with a highly sensitive resist composition and an organic solvent, which has a high dissolution contrast in organic solvent development. It is intended to provide a method.

本発明者らは上記目的を達成するため、鋭意検討を重ねた結果、脂環上にメチル基、エチル基を複数個有する第3級エステル型の(メタ)アクリレート単量体を繰り返し単位として含む高分子化合物をベース樹脂として含むレジスト組成物を用いると、露光、PEB後の有機溶剤現像において、高い溶解コントラストを得ることができることを見出した。このような酸不安定基をアルカリ現像のポジ型レジスト膜に適用した場合は、高い脂溶性のために現像液中の膨潤によってパターンの倒れやパターン間がつながる問題が生じたが、有機溶剤現像のネガパターン形成においては脂環上のメチル基及びエチル基によって現像液溶解性が向上することによって高い溶解コントラストを得ることができる。有機溶剤現像液中での膨潤の発生もないためにパターンの倒れやブリッジ欠陥の発生もない。   In order to achieve the above object, the present inventors have made extensive studies and as a result, include a tertiary ester type (meth) acrylate monomer having a plurality of methyl groups and ethyl groups on the alicyclic ring as a repeating unit. It has been found that when a resist composition containing a polymer compound as a base resin is used, a high dissolution contrast can be obtained in organic solvent development after exposure and PEB. When such an acid labile group is applied to an alkali-developed positive resist film, there is a problem that the pattern collapses or the pattern is connected due to swelling in the developer due to high fat solubility. In this negative pattern formation, a high dissolution contrast can be obtained by improving the solubility of the developer by the methyl group and ethyl group on the alicyclic ring. Since there is no occurrence of swelling in the organic solvent developer, there is no occurrence of pattern collapse or bridge defects.

従って、本発明は、下記のパターン形成方法を提供する。
〔1〕
下記一般式(1)で示される繰り返し単位a1を含有する高分子化合物と、必要によって酸発生剤とを含むレジスト組成物を基板上に塗布し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に有機溶剤による現像液を用いて未露光部を溶解させ、露光部が溶解しないネガ型パターンを得ることを特徴とするパターン形成方法。

Figure 0005780221
(式中、R1は水素原子又はメチル基を示す。R2は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基を示す。R3はメチル基又はエチル基を示す。X1はエーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよい炭素数1〜10のアルキレン基、又は炭素数6〜10のアリーレン基を示す。X2はメチレン基又はエチレン基を示す。k1は0又は1を示す。k2は2〜6の整数を示し、0<a1<1.0である。)
〔2〕
高分子化合物が、更に下記一般式(2)で示される繰り返し単位a2及び下記一般式(3)で示される繰り返し単位b1〜b4から選ばれるいずれかの繰り返し単位を含有することを特徴とする〔1〕に記載のパターン形成方法。
Figure 0005780221
(式中、R10は水素原子又はメチル基、R11は一般式(1)の酸不安定基とは異なる酸不安定基、X3は単結合、フェニレン基、ナフチレン基、又は−C(=O)−O−R12−であり、R12は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基、エステル基、ラクトン環、ヒドロキシ基のいずれかを有していてもよく、あるいはフェニレン基又はナフチレン基であり、0≦a2<1.0である。)
Figure 0005780221
(式中、R13、R16はそれぞれ独立に水素原子又はメチル基を示す。R14は炭素数1〜16の直鎖状、分岐状又は環状の2〜5価の脂肪族炭化水素基であり、エーテル基又はエステル基を有していてもよい。R15、R17は酸不安定基である。R18〜R21、R22〜R25はそれぞれ独立に水素原子、シアノ基、炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、アルコキシカルボニル基、又はエーテル基もしくはラクトン環を有する基であるが、R18〜R21及びR22〜R25の内少なくとも一つは酸不安定基で置換されたヒドロキシ基を有する。mは1〜4の整数、nは0又は1である。b1、b2、b3、b4は、0≦b1<1.0、0≦b2<1.0、0≦b3<1.0、0≦b4<1.0、0≦b1+b2+b3+b4<1.0である。但し、0<a2+b1+b2+b3+b4<1.0である。)
〔3〕
高分子化合物が、更にヒドロキシ基、シアノ基、カルボニル基、エステル基、エーテル基、ラクトン環、カルボキシル基、カルボン酸無水物基、スルホン酸エステル基、ジスルホン基、カーボネート基から選ばれる密着性基を有するモノマーに由来する繰り返し単位を含有することを特徴とする〔1〕又は〔2〕に記載のパターン形成方法。
〔4〕
高分子化合物が、更に下記一般式で示される繰り返し単位(d1)、(d2)、(d3)のいずれかを含有することを特徴とする〔1〕〜〔3〕のいずれかに記載のパターン形成方法。
Figure 0005780221
(式中、R020、R024、R028は水素原子又はメチル基、R021は単結合、フェニレン基、−O−R033−、又は−C(=O)−Y−R033−である。Yは酸素原子又はNH、R033は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基(−CO−)、エステル基(−COO−)、エーテル基(−O−)又はヒドロキシ基を含んでいてもよい。R022、R023、R025、R026、R027、R029、R030、R031は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Z1は単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R032−、又は−C(=O)−Z2−R032−である。Z2は酸素原子又はNH、R032は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。M-は非求核性対向イオンを表す。0≦d1≦0.3、0≦d2≦0.3、0≦d3≦0.3、0<d1+d2+d3≦0.3である。)
〔5〕
現像液が、2−オクタノン、2−ノナノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2−ヘキサノン、3−ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノン、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸アミル、酢酸ブテニル、酢酸イソアミル、蟻酸プロピル、蟻酸ブチル、蟻酸イソブチル、蟻酸アミル、蟻酸イソアミル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、プロピオン酸メチル、プロピオン酸エチル、3−エトキシプロピオン酸エチル、乳酸メチル、乳酸エチル、乳酸プロピル、乳酸ブチル、乳酸イソブチル、乳酸アミル、乳酸イソアミル、2−ヒドロキシイソ酪酸メチル、2−ヒドロキシイソ酪酸エチル、安息香酸メチル、安息香酸エチル、酢酸フェニル、酢酸ベンジル、フェニル酢酸メチル、蟻酸ベンジル、蟻酸フェニルエチル、3−フェニルプロピオン酸メチル、プロピオン酸ベンジル、フェニル酢酸エチル、酢酸2−フェニルエチルから選ばれる1種以上であることを特徴とする〔1〕〜〔4〕のいずれかに記載のパターン形成方法。
〔6〕
高エネルギー線による露光が、波長248nmのKrFエキシマレーザー、波長193nmのArFエキシマレーザー、波長13.5nmのEUVリソグラフィー、又は電子ビームであることを特徴とする〔1〕〜〔5〕のいずれかに記載のパターン形成方法。
〔7〕
前記一般式(1)で示される繰り返し単位を含有する高分子化合物と、必要によって酸発生剤と、有機溶剤とを含むレジスト組成物を基板上に塗布し、加熱処理後に保護膜を形成し、高エネルギー線で上記レジスト膜を露光し、加熱処理後に有機溶剤による現像液を用いて保護膜と未露光部を溶解させ、露光部が溶解しないネガ型パターンを得ることを特徴とする〔1〕〜〔6〕のいずれかに記載のパターン形成方法。 Accordingly, the present invention provides a patterning how below.
[1]
A resist composition containing a polymer compound containing the repeating unit a1 represented by the following general formula (1) and, if necessary, an acid generator is applied onto a substrate, and the resist film is exposed to high energy rays after heat treatment. Then, after the heat treatment, an unexposed portion is dissolved by using a developer with an organic solvent, and a negative pattern in which the exposed portion is not dissolved is obtained.
Figure 0005780221
(In the formula, R 1 represents a hydrogen atom or a methyl group. R 2 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 3 represents a methyl group or an ethyl group. X 1 represents an ether group, an ester group, a lactone ring, or an alkylene group having 1 to 10 carbon atoms, or an arylene group having 6 to 10 carbon atoms, and X 2 represents a methylene group or an ethylene group. K 1 represents 0 or 1. k 2 represents an integer of 2 to 6, and 0 <a1 <1.0.
[2]
The polymer compound further contains any one of repeating units selected from the repeating unit a2 represented by the following general formula (2) and the repeating units b1 to b4 represented by the following general formula (3) [ [1].
Figure 0005780221
(Wherein R 10 is a hydrogen atom or a methyl group, R 11 is an acid labile group different from the acid labile group of general formula (1), X 3 is a single bond, a phenylene group, a naphthylene group, or —C ( ═O) —O—R 12 —, wherein R 12 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and includes any of an ether group, an ester group, a lactone ring, and a hydroxy group. Or may be a phenylene group or a naphthylene group, and 0 ≦ a2 <1.0.)
Figure 0005780221
(In the formula, R 13 and R 16 each independently represent a hydrogen atom or a methyl group. R 14 is a linear, branched or cyclic divalent to pentavalent aliphatic hydrocarbon group having 1 to 16 carbon atoms. Yes, and may have an ether group or an ester group, R 15 and R 17 are acid labile groups, R 18 to R 21 and R 22 to R 25 are each independently a hydrogen atom, a cyano group, carbon A linear, branched or cyclic alkyl group, an alkoxycarbonyl group, or an ether group or a group having a lactone ring, and at least one of R 18 to R 21 and R 22 to R 25 Has a hydroxy group substituted with an acid labile group, m is an integer from 1 to 4, and n is 0 or 1. b1, b2, b3, b4 are 0 ≦ b1 <1.0, 0 ≦ b2. <1.0, 0 ≦ b3 <1.0, 0 ≦ b4 <1.0, 0 ≦ b1 + b2 + b3 + b4 <1.0 (However, 0 <a2 + b1 + b2 + b3 + b4 <1.0.)
[3]
The polymer compound further has an adhesive group selected from a hydroxy group, a cyano group, a carbonyl group, an ester group, an ether group, a lactone ring, a carboxyl group, a carboxylic acid anhydride group, a sulfonic acid ester group, a disulfone group, and a carbonate group. The pattern forming method according to [1] or [2], comprising a repeating unit derived from a monomer having the same.
[4]
The polymer compound further contains any one of repeating units (d1), (d2), and (d3) represented by the following general formula: The pattern according to any one of [1] to [3] Forming method.
Figure 0005780221
Wherein R 020 , R 024 and R 028 are a hydrogen atom or a methyl group, R 021 is a single bond, a phenylene group, —O—R 033 —, or —C (═O) —Y—R 033 —. Y is an oxygen atom or NH, and R 033 is a linear, branched or cyclic alkylene group, alkenylene group or phenylene group having 1 to 6 carbon atoms, a carbonyl group (—CO—), an ester group (—COO) -), An ether group (-O-) or a hydroxy group, R 022 , R 023 , R 025 , R 026 , R 027 , R 029 , R 030 and R 031 are the same or different carbon numbers. 1 to 12 linear, branched or cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms or an aralkyl having 7 to 20 carbon atoms Z 1 represents a single bond, a methylene group or ethylene. Group, a phenylene group, a fluorinated phenylene group, —O—R 032 —, or —C (═O) —Z 2 —R 032 —, wherein Z 2 is an oxygen atom or NH, and R 032 has 1 carbon atom. A linear, branched or cyclic alkylene group, alkenylene group or phenylene group of ˜6, which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, and M is a non-nucleophilic counter ion. 0 ≦ d1 ≦ 0.3, 0 ≦ d2 ≦ 0.3, 0 ≦ d3 ≦ 0.3, and 0 <d1 + d2 + d3 ≦ 0.3.
[5]
Developer is 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutylketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, acetic acid Isobutyl, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonic acid, ethyl crotonic acid, methyl propionate, ethyl propionate, Ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, One or more selected from ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, 2-phenylethyl acetate The pattern forming method as described in any one of [1] to [4].
[6]
Any one of [1] to [5], wherein the exposure with the high energy beam is a KrF excimer laser with a wavelength of 248 nm, an ArF excimer laser with a wavelength of 193 nm, EUV lithography with a wavelength of 13.5 nm, or an electron beam The pattern formation method as described.
[7]
A resist composition containing a polymer compound containing the repeating unit represented by the general formula (1) and, if necessary, an acid generator and an organic solvent is applied on a substrate, and a protective film is formed after the heat treatment, The resist film is exposed with a high energy beam, and after the heat treatment, the protective film and the unexposed part are dissolved using a developer with an organic solvent to obtain a negative pattern in which the exposed part is not dissolved [1] -The pattern formation method in any one of [6].

本発明に係る脂環上にメチル基、エチル基を複数個有する第3級エステル型の(メタ)アクリレート単量体を繰り返し単位として含む高分子化合物をベース樹脂として含むレジスト組成物は、露光、PEB後の有機溶剤現像において、高い溶解コントラストを得ることができ、微細なホールパターンやトレンチパターンを寸法制御よくかつ高感度で形成することが可能となる。   A resist composition containing, as a base resin, a polymer compound containing, as a repeating unit, a tertiary ester type (meth) acrylate monomer having a plurality of methyl groups and ethyl groups on the alicyclic ring according to the present invention, In organic solvent development after PEB, high dissolution contrast can be obtained, and fine hole patterns and trench patterns can be formed with high dimensional control and high sensitivity.

本発明に係るパターニング方法を説明するもので、(A)は基板上にフォトレジスト膜を形成した状態の断面図、(B)はフォトレジスト膜に露光した状態の断面図、(C)は有機溶剤で現像した状態の断面図である。BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a patterning method according to the present invention, where (A) is a cross-sectional view of a state in which a photoresist film is formed on a substrate, (B) is a cross-sectional view of a state in which the photoresist film is exposed, and (C) is an organic film. It is sectional drawing of the state developed with the solvent.

本発明は、上述したように、脂環上にメチル基、エチル基を複数個有する第3級エステル型の(メタ)アクリレート単量体を繰り返し単位として含む高分子化合物をベース樹脂として含むレジスト組成物を塗布し、プリベークにより不要な溶剤を除去してレジスト膜を形成し、高エネルギー線により露光し、露光後加熱し、有機溶剤現像液で現像してネガ型パターンを得ることを特徴とするパターン形成方法及びこれに用いるレジスト組成物を提供するものである。   As described above, the present invention provides a resist composition containing, as a base resin, a polymer compound containing a tertiary ester type (meth) acrylate monomer having a plurality of methyl groups and ethyl groups on the alicyclic ring as a repeating unit. A resist film is formed by removing an unnecessary solvent by pre-baking to form a resist film, exposed by high energy rays, heated after exposure, and developed with an organic solvent developer to obtain a negative pattern A pattern forming method and a resist composition used therefor are provided.

有機溶剤現像における未露光部溶解速度を向上させるには、酸不安定基の脂環部にメチル基、エチル基といった短鎖長のアルキル基を多数導入することが効果的である。また、短鎖長のアルキル基を導入すると、無置換のものに比べ、ポリマーのガラス転位点(Tg)が高くなり、酸拡散を防ぐ効果がある。   In order to improve the dissolution rate of the unexposed part in organic solvent development, it is effective to introduce a large number of short chain alkyl groups such as a methyl group and an ethyl group into the alicyclic part of the acid labile group. In addition, when a short-chain alkyl group is introduced, the glass transition point (Tg) of the polymer is higher than that of an unsubstituted one, and there is an effect of preventing acid diffusion.

ここで、脂環上にメチル基、エチル基を複数個有する第3級エステル型の酸不安定基を導入すると、脂環上にメチル基、エチル基が無い3級エステル型よりも溶剤溶解性が向上する。一方、環構造によって酸拡散が抑えられており、低拡散で高コントラストな有機溶剤型のネガパターンを形成することが可能である。   Here, when a tertiary ester type acid labile group having a plurality of methyl groups and ethyl groups is introduced on the alicyclic ring, the solvent solubility is higher than that of the tertiary ester type having no methyl group and ethyl group on the alicyclic ring. Will improve. On the other hand, acid diffusion is suppressed by the ring structure, and it is possible to form a low diffusion and high contrast organic solvent negative pattern.

脂環上にメチル基、エチル基を複数個有する第3級エステル型の酸不安定基を有する繰り返し単位は、下記一般式(1)の繰り返し単位a1で表すことができる。

Figure 0005780221
(式中、R1は水素原子又はメチル基を示す。R2は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基を示す。R3はメチル基又はエチル基を示す。X1はエーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよい炭素数1〜10のアルキレン基、又は炭素数6〜10のアリーレン基を示す。X2はメチレン基又はエチレン基を示す。k1は0又は1を示す。k2は2〜6、好ましくは3〜6の整数を示し、0<a1<1.0である。) The repeating unit having a tertiary ester type acid labile group having a plurality of methyl groups and ethyl groups on the alicyclic ring can be represented by a repeating unit a1 of the following general formula (1).
Figure 0005780221
(In the formula, R 1 represents a hydrogen atom or a methyl group. R 2 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 3 represents a methyl group or an ethyl group. X 1 represents an ether group, an ester group, a lactone ring, or an alkylene group having 1 to 10 carbon atoms, or an arylene group having 6 to 10 carbon atoms, and X 2 represents a methylene group or an ethylene group. K 1 represents 0 or 1. k 2 represents an integer of 2 to 6, preferably 3 to 6, and 0 <a1 <1.0.

上記一般式(1)中、X1で示されるエーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよい炭素数1〜10のアルキレン基、又は炭素数6〜10のフェニレン基、ナフチレン基等のアリーレン基として、具体的には下記のものを例示することができる。

Figure 0005780221
(式中、破線は結合手を示す。) In the general formula (1), an ether group, an ester group, a lactone ring or a hydroxy group which may have an ether group represented by X 1 , or a phenylene group having 6 to 10 carbon atoms, Specific examples of the arylene group such as a naphthylene group include the following.
Figure 0005780221
(In the formula, a broken line indicates a bond.)

上記一般式(1)中、R3はメチル基又はエチル基を示す。このうち、ポリマーTgの上昇効果が大きいメチル基が好ましい。 In the general formula (1), R 3 represents a methyl group or an ethyl group. Among these, a methyl group having a large effect of increasing the polymer Tg is preferable.

2は2〜6の整数を示す。k2が0又は1の場合、有機溶剤現像における未露光部溶解速度が低く、好ましくない。逆に7以上の整数の場合は、一般式(1)で示される繰り返し単位を得るための単量体の沸点が高くなり、蒸留精製が困難となり、好ましくない。 k2 represents an integer of 2 to 6. When k 2 is 0 or 1, the unexposed part dissolution rate in organic solvent development is low, which is not preferable. On the other hand, an integer of 7 or more is not preferred because the boiling point of the monomer for obtaining the repeating unit represented by the general formula (1) becomes high and distillation purification becomes difficult.

上記一般式(1)で示される繰り返し単位は、具体的には下記に例示することができる。

Figure 0005780221
Specific examples of the repeating unit represented by the general formula (1) are shown below.
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

本発明のレジスト組成物のベース樹脂として用いられる高分子化合物は、上記繰り返し単位a1に加えて、一般式(1)の酸不安定基、即ち下記式

Figure 0005780221
(式中、破線は結合手を示し、R2、R3、X2、k2は上記の通りである。)
で示される酸不安定基以外の酸不安定基によってカルボキシル基を水素原子で置換された下記一般式(2)で示される繰り返し単位a2を共重合することもできる。
Figure 0005780221
(式中、R10は水素原子又はメチル基、R11は一般式(1)の酸不安定基とは異なる酸不安定基、X3は単結合、フェニレン基、ナフチレン基、又は−C(=O)−O−R12−であり、R12は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基、エステル基、ラクトン環、ヒドロキシ基のいずれかを有していてもよく、あるいはフェニレン基又はナフチレン基であり、0≦a2<1.0である。)
3はX1と同様の構造を挙げることができ、酸不安定基R11については後述する。 In addition to the repeating unit a1, the polymer compound used as the base resin of the resist composition of the present invention is an acid labile group of the general formula (1), that is, the following formula
Figure 0005780221
(In the formula, a broken line indicates a bond, and R 2 , R 3 , X 2 , and k 2 are as described above.)
It is also possible to copolymerize the repeating unit a2 represented by the following general formula (2) in which the carboxyl group is substituted with a hydrogen atom by an acid labile group other than the acid labile group represented by formula (1).
Figure 0005780221
(Wherein R 10 is a hydrogen atom or a methyl group, R 11 is an acid labile group different from the acid labile group of general formula (1), X 3 is a single bond, a phenylene group, a naphthylene group, or —C ( ═O) —O—R 12 —, wherein R 12 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and includes any of an ether group, an ester group, a lactone ring, and a hydroxy group. Or may be a phenylene group or a naphthylene group, and 0 ≦ a2 <1.0.)
X 3 can have the same structure as X 1, and the acid labile group R 11 will be described later.

本発明に係る高分子化合物には、一般式(1)の繰り返し単位a1、一般式(2)の繰り返し単位a2以外にヒドロキシ基が酸不安定基で置換された繰り返し単位を共重合することもできる。ヒドロキシ基が酸不安定基で置換された繰り返し単位は、下記一般式(3)中のb1〜b4で示されるものを挙げることができる。

Figure 0005780221
(式中、R13、R16はそれぞれ独立に水素原子又はメチル基を示す。R14は炭素数1〜16の直鎖状、分岐状又は環状の2〜5価の脂肪族炭化水素基であり、エーテル基又はエステル基を有していてもよい。R15、R17は酸不安定基である。R18〜R21、R22〜R25はそれぞれ独立に水素原子、シアノ基、炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、アルコキシカルボニル基、又はエーテル基もしくはラクトン環を有する基であるが、R18〜R21及びR22〜R25の内少なくとも一つは酸不安定基で置換されたヒドロキシ基を有する。mは1〜4の整数、nは0又は1である。b1、b2、b3、b4は、0≦b1<1.0、0≦b2<1.0、0≦b3<1.0、0≦b4<1.0、0≦b1+b2+b3+b4<1.0である。) In addition to the repeating unit a1 of the general formula (1) and the repeating unit a2 of the general formula (2), the polymer compound according to the present invention may be copolymerized with a repeating unit in which a hydroxy group is substituted with an acid labile group. it can. Examples of the repeating unit in which the hydroxy group is substituted with an acid labile group include those represented by b1 to b4 in the following general formula (3).
Figure 0005780221
(In the formula, R 13 and R 16 each independently represent a hydrogen atom or a methyl group. R 14 is a linear, branched or cyclic divalent to pentavalent aliphatic hydrocarbon group having 1 to 16 carbon atoms. Yes, and may have an ether group or an ester group, R 15 and R 17 are acid labile groups, R 18 to R 21 and R 22 to R 25 are each independently a hydrogen atom, a cyano group, carbon A linear, branched or cyclic alkyl group, an alkoxycarbonyl group, or an ether group or a group having a lactone ring, and at least one of R 18 to R 21 and R 22 to R 25 Has a hydroxy group substituted with an acid labile group, m is an integer from 1 to 4, and n is 0 or 1. b1, b2, b3, b4 are 0 ≦ b1 <1.0, 0 ≦ b2. <1.0, 0 ≦ b3 <1.0, 0 ≦ b4 <1.0, 0 ≦ b1 + b2 + b3 + b4 <1.0 )

一般式(3)中の繰り返し単位b1、b2を得るためのモノマーは、具体的には下記に例示することができる。ここでR13、R15、R16、R17は前述の通りである。

Figure 0005780221
Specific examples of the monomer for obtaining the repeating units b1 and b2 in the general formula (3) can be given below. Here, R 13 , R 15 , R 16 and R 17 are as described above.
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

繰り返し単位b3、b4を得るためのモノマーは、具体的には下記に挙げることができる。ここでRは酸不安定基である。

Figure 0005780221
Specific examples of the monomer for obtaining the repeating units b3 and b4 can be listed below. Here, R is an acid labile group.
Figure 0005780221

Figure 0005780221
Figure 0005780221

一般式(2)中のカルボキシル基を置換した一般式(1)の酸不安定基とは異なる酸不安定基R11、一般式(3)中のヒドロキシ基を置換した酸不安定基R15、R17、及びR18〜R21のいずれか、R22〜R25のいずれかは種々選定され、互いに同一であっても異なっていてもよいが、特に下記式(AL−10)で示される基、下記式(AL−11)で示されるアセタール基、下記式(AL−12)で示される三級アルキル基、炭素数4〜20のオキソアルキル基等が挙げられる。

Figure 0005780221
An acid labile group R 11 different from the acid labile group of the general formula (1) substituted with a carboxyl group in the general formula (2), an acid labile group R 15 substituted with a hydroxy group in the general formula (3) , R 17 , and any of R 18 to R 21 and any of R 22 to R 25 may be variously selected and may be the same or different from each other, but are particularly represented by the following formula (AL-10) Group, an acetal group represented by the following formula (AL-11), a tertiary alkyl group represented by the following formula (AL-12), an oxoalkyl group having 4 to 20 carbon atoms, and the like.
Figure 0005780221

式(AL−10)、(AL−11)において、R51、R54は炭素数1〜40、特に1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素等のヘテロ原子を含んでもよい。R52、R53は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素等のヘテロ原子を含んでもよく、a5は0〜10、特に1〜5の整数である。R52とR53、R52とR54、又はR53とR54はそれぞれ結合してこれらが結合する炭素原子又は炭素原子と酸素原子と共に炭素数3〜20、好ましくは4〜16の環、特に脂環を形成してもよい。
55、R56、R57はそれぞれ炭素数1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素等のヘテロ原子を含んでもよい。あるいはR55とR56、R55とR57、又はR56とR57はそれぞれ結合してこれらが結合する炭素原子と共に炭素数3〜20、好ましくは4〜16の環、特に脂環を形成してもよい。
In the formulas (AL-10) and (AL-11), R 51 and R 54 are monovalent hydrocarbon groups such as linear, branched or cyclic alkyl groups having 1 to 40 carbon atoms, particularly 1 to 20 carbon atoms. Yes, it may contain heteroatoms such as oxygen, sulfur, nitrogen and fluorine. R 52 and R 53 are each a hydrogen atom or a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and includes heteroatoms such as oxygen, sulfur, nitrogen and fluorine. Alternatively, a5 is an integer of 0 to 10, particularly 1 to 5. R 52 and R 53 , R 52 and R 54 , or R 53 and R 54 are each bonded to a carbon atom to which they are bonded, or a ring having 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms together with a carbon atom and an oxygen atom, In particular, an alicyclic ring may be formed.
R 55 , R 56 , and R 57 are each a monovalent hydrocarbon group such as a linear, branched, or cyclic alkyl group having 1 to 20 carbon atoms, and include heteroatoms such as oxygen, sulfur, nitrogen, and fluorine. But you can. Alternatively, R 55 and R 56 , R 55 and R 57 , or R 56 and R 57 are bonded to form a ring having 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms, particularly an alicyclic ring, together with the carbon atom to which they are bonded. May be.

式(AL−10)で示される基を具体的に例示すると、tert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等、また下記式(AL−10)−1〜(AL−10)−10で示される置換基が挙げられる。

Figure 0005780221
Specific examples of the group represented by the formula (AL-10) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1-ethoxyethoxycarbonyl. Examples include a methyl group, a 2-tetrahydropyranyloxycarbonylmethyl group, a 2-tetrahydrofuranyloxycarbonylmethyl group, and the like, and substituents represented by the following formulas (AL-10) -1 to (AL-10) -10.
Figure 0005780221

式(AL−10)−1〜(AL−10)−10中、R58は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。R59は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基を示す。R60は炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。a5は上記の通りである。 In the formulas (AL-10) -1 to (AL-10) -10, R 58 is the same or different linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, aryl having 6 to 20 carbon atoms. Group or a C7-20 aralkyl group is shown. R 59 represents a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 60 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms. a5 is as described above.

前記式(AL−11)で示されるアセタール基を下記式(AL−11)−1〜(AL−11)−112に例示する。

Figure 0005780221
Acetal groups represented by the above formula (AL-11) are exemplified by the following formulas (AL-11) -1 to (AL-11) -112.
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

また、酸不安定基として、下記式(AL−11a)あるいは(AL−11b)で表される基が挙げられ、該酸不安定基によってベース樹脂が分子間あるいは分子内架橋されていてもよい。

Figure 0005780221
Further, examples of the acid labile group include groups represented by the following formula (AL-11a) or (AL-11b), and the base resin may be intermolecularly or intramolecularly crosslinked by the acid labile group. .
Figure 0005780221

上記式中、R61、R62は水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。又は、R61とR62は互いに結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合にはR61、R62は炭素数1〜8の直鎖状又は分岐状のアルキレン基を示す。R63は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、b5、d5は0又は1〜10の整数、好ましくは0又は1〜5の整数、c5は1〜7の整数である。Aは、(c5+1)価の炭素数1〜50の脂肪族もしくは脂環式飽和炭化水素基、芳香族炭化水素基又はヘテロ環基を示し、これらの基は酸素、硫黄、窒素等のヘテロ原子を介在してもよく、又はその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、カルボニル基又はフッ素原子によって置換されていてもよい。Bは−CO−O−、−NHCO−O−又は−NHCONH−を示す。
この場合、好ましくはAは2〜4価の炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、アルカントリイル基、アルカンテトライル基、又は炭素数6〜30のアリーレン基であり、これらの基は酸素、硫黄、窒素等のヘテロ原子を介在していてもよく、またその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、アシル基又はハロゲン原子によって置換されていてもよい。また、c5は好ましくは1〜3の整数である。
In the above formula, R 61 and R 62 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms. Alternatively, R 61 and R 62 may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and when forming a ring, R 61 and R 62 are linear or A branched alkylene group is shown. R 63 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, b5 and d5 are 0 or an integer of 1 to 10, preferably 0 or an integer of 1 to 5, and c5 is an integer of 1 to 7. It is. A represents a (c5 + 1) -valent aliphatic or alicyclic saturated hydrocarbon group having 1 to 50 carbon atoms, an aromatic hydrocarbon group or a heterocyclic group, and these groups are heteroatoms such as oxygen, sulfur and nitrogen. Or a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, a carbonyl group or a fluorine atom. B represents —CO—O—, —NHCO—O— or —NHCONH—.
In this case, A is preferably a divalent to tetravalent C1-C20 linear, branched or cyclic alkylene group, alkanetriyl group, alkanetetrayl group, or arylene group having 6 to 30 carbon atoms. These groups may have intervening heteroatoms such as oxygen, sulfur, nitrogen, etc., and some of the hydrogen atoms bonded to the carbon atoms are substituted by hydroxyl groups, carboxyl groups, acyl groups or halogen atoms. May be. C5 is preferably an integer of 1 to 3.

式(AL−11a)、(AL−11b)で示される架橋型アセタール基は、具体的には下記式(AL−11)−113〜(AL−11)−120のものが挙げられる。

Figure 0005780221
Specific examples of the crosslinked acetal group represented by the formulas (AL-11a) and (AL-11b) include those represented by the following formulas (AL-11) -113 to (AL-11) -120.
Figure 0005780221

次に、前記式(AL−12)に示される三級アルキル基としては、tert−ブチル基、トリエチルカルビル基、1−エチルノルボニル基、1−メチルシクロヘキシル基、1−エチルシクロペンチル基、tert−アミル基等、あるいは下記式(AL−12)−1〜(AL−12)−16で示される基を挙げることができる。

Figure 0005780221
Next, examples of the tertiary alkyl group represented by the formula (AL-12) include tert-butyl group, triethylcarbyl group, 1-ethylnorbornyl group, 1-methylcyclohexyl group, 1-ethylcyclopentyl group, tert Examples thereof include an amyl group and the like, or groups represented by the following formulas (AL-12) -1 to (AL-12) -16.
Figure 0005780221

上記式中、R64は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示し、R64同士が結合して環を形成してもよい。R65、R67は水素原子、又はメチル基、エチル基を示す。R66は炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。 In the above formula, R 64 represents the same or different linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, R 64 may be bonded to each other to form a ring. R 65 and R 67 each represent a hydrogen atom, a methyl group or an ethyl group. R 66 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms.

更に、酸不安定基として、下記式(AL−12)−17に示す基が挙げられ、2価以上のアルキレン基、又はアリーレン基であるR68を含む該酸不安定基によってベース樹脂が分子内あるいは分子間架橋されていてもよい。式(AL−12)−17のR64は前述と同様、R68は単結合、炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、又はアリーレン基を示し、酸素原子や硫黄原子、窒素原子等のヘテロ原子を含んでいてもよい。b6は0〜3の整数である。式(AL−12)−17は上記酸不安定基のいずれにも適用される。

Figure 0005780221
Furthermore, examples of the acid labile group include a group represented by the following formula (AL-12) -17. The base labile molecule is formed by the acid labile group containing R 68 which is a divalent or higher valent alkylene group or an arylene group. It may be internally or intermolecularly crosslinked. In the formula (AL-12) -17, R 64 is the same as described above, and R 68 is a single bond, a linear, branched or cyclic alkylene group having 1 to 20 carbon atoms, or an arylene group. Hetero atoms such as atoms and nitrogen atoms may be included. b6 is an integer of 0-3. Formula (AL-12) -17 applies to any of the above acid labile groups.
Figure 0005780221

なお、上述したR64、R65、R66、R67は酸素、窒素、硫黄等のヘテロ原子を有していてもよく、具体的には下記式(AL−13)−1〜(AL−13)−7に示すことができる。

Figure 0005780221
R 64 , R 65 , R 66 , R 67 described above may have a heteroatom such as oxygen, nitrogen, sulfur, etc., specifically, the following formulas (AL-13) -1 to (AL— 13) -7.
Figure 0005780221

本発明のパターン形成方法に用いられるレジスト組成物のベース樹脂となる高分子化合物は、一般式(1)の繰り返し単位a1と、場合によっては一般式(2)の繰り返し単位a2、一般式(3)のb1〜b4の酸不安定基を有する繰り返し単位を有することが好ましいが、更にはヒドロキシ基、シアノ基、カルボニル基、エステル基、エーテル基、ラクトン環、カルボキシル基、カルボン酸無水物基、スルホン酸エステル基、ジスルホン基、カーボネート基等の密着性基を有するモノマーに由来する繰り返し単位cを共重合させてもよい。これらの中で、ラクトン環を密着性基として有するものが最も好ましく用いられる。
繰り返し単位cを得るためのモノマーとしては、具体的に下記に挙げることができる。
The polymer compound serving as the base resin of the resist composition used in the pattern forming method of the present invention includes the repeating unit a1 of the general formula (1), and in some cases, the repeating unit a2 of the general formula (2) and the general formula (3 It is preferable to have a repeating unit having an acid labile group of b1 to b4, but further a hydroxy group, a cyano group, a carbonyl group, an ester group, an ether group, a lactone ring, a carboxyl group, a carboxylic acid anhydride group, The repeating unit c derived from a monomer having an adhesive group such as a sulfonate group, a disulfone group, or a carbonate group may be copolymerized. Among these, those having a lactone ring as an adhesive group are most preferably used.
Specific examples of the monomer for obtaining the repeating unit c include the following.

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

更に、下記一般式で示されるスルホニウム塩(d1)〜(d3)のいずれかの繰り返し単位を共重合することもできる。

Figure 0005780221
(式中、R020、R024、R028は水素原子又はメチル基、R021は単結合、フェニレン基、−O−R033−、又は−C(=O)−Y−R033−である。Yは酸素原子又はNH、R033は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基(−CO−)、エステル基(−COO−)、エーテル基(−O−)又はヒドロキシ基を含んでいてもよい。R022、R023、R025、R026、R027、R029、R030、R031は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Z1は単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R032−、又は−C(=O)−Z2−R032−である。Z2は酸素原子又はNH、R032は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。M-は非求核性対向イオンを表す。0≦d1≦0.3、0≦d2≦0.3、0≦d3≦0.3、0≦d1+d2+d3≦0.3である。) Furthermore, any repeating unit of sulfonium salts (d1) to (d3) represented by the following general formula may be copolymerized.
Figure 0005780221
Wherein R 020 , R 024 and R 028 are a hydrogen atom or a methyl group, R 021 is a single bond, a phenylene group, —O—R 033 —, or —C (═O) —Y—R 033 —. Y is an oxygen atom or NH, and R 033 is a linear, branched or cyclic alkylene group, alkenylene group or phenylene group having 1 to 6 carbon atoms, a carbonyl group (—CO—), an ester group (—COO) -), An ether group (-O-) or a hydroxy group, R 022 , R 023 , R 025 , R 026 , R 027 , R 029 , R 030 and R 031 are the same or different carbon numbers. 1 to 12 linear, branched or cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms or an aralkyl having 7 to 20 carbon atoms Z 1 represents a single bond, a methylene group or ethylene. Group, a phenylene group, a fluorinated phenylene group, —O—R 032 —, or —C (═O) —Z 2 —R 032 —, wherein Z 2 is an oxygen atom or NH, and R 032 has 1 carbon atom. A linear, branched or cyclic alkylene group, alkenylene group or phenylene group of ˜6, which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, and M is a non-nucleophilic counter ion. 0 ≦ d1 ≦ 0.3, 0 ≦ d2 ≦ 0.3, 0 ≦ d3 ≦ 0.3, and 0 ≦ d1 + d2 + d3 ≦ 0.3.

上記繰り返し単位以外には、特開2008−281980号公報に記載の非脱離性炭化水素基を有する繰り返し単位eを挙げることができる。特開2008−281980号公報に記載されていない非脱離性炭化水素基としてはインデン類、アセナフチレン類、ノルボルナジエン類を重合体として挙げることができる。非脱離性炭化水素基を有する繰り返し単位を共重合することによって、有機溶剤現像液への溶解性を向上させることができる。   In addition to the above repeating units, there can be mentioned the repeating units e having a non-leaving hydrocarbon group described in JP-A-2008-281980. Examples of non-leaving hydrocarbon groups not described in JP-A-2008-281980 include indene, acenaphthylene, and norbornadiene as polymers. By copolymerizing a repeating unit having a non-leaving hydrocarbon group, solubility in an organic solvent developer can be improved.

更には、オキシラン環又はオキセタン環を有する繰り返し単位fを共重合することもできる。オキシラン環又はオキセタン環を有する繰り返し単位を共重合することによって、露光部が架橋するために、露光部分の残膜特性とエッチング耐性が向上する。
オキシラン環、オキセタン環を有する繰り返し単位fは、具体的には下記に例示される。なお、下記例中、R41は水素原子又はメチル基である。
Furthermore, the repeating unit f having an oxirane ring or an oxetane ring can be copolymerized. By copolymerizing a repeating unit having an oxirane ring or an oxetane ring, the exposed portion is crosslinked, so that the remaining film characteristics and etching resistance of the exposed portion are improved.
Specific examples of the repeating unit f having an oxirane ring or an oxetane ring are shown below. In the following examples, R 41 is a hydrogen atom or a methyl group.

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

上記繰り返し単位a1、a2、b1、b2、b3、b4、b、c、d1、d2、d3、e、fにおいて、繰り返し単位の比率は、0<a1<1.0、0≦a2<1.0、0≦b1<1.0、0≦b2<1.0、0≦b3<1.0、0≦b4<1.0、0≦a2+b1+b2+b3+b4<1.0、0<c<1.0、0≦d1≦0.3、0≦d2≦0.3、0≦d3≦0.3、0≦d1+d2+d3≦0.3、0≦e≦0.4、0≦f≦0.6、好ましくは0.1≦a1≦0.9、0≦a2≦0.9、0.1≦a1+a2≦0.9、0≦b1≦0.9、0≦b2≦0.9、0≦b3≦0.9、0≦b4≦0.9、0.1≦a1+a2+b1+b2+b3+b4≦0.9、0.1≦c≦0.9、0≦d1≦0.2、0≦d2≦0.2、0≦d3≦0.2、0≦d1+d2+d3≦0.2、0≦e≦0.3、0≦f≦0.5の範囲である。なお、a1+a2+b1+b2+b3+b4+c+d1+d2+d3+e+f=1である。   In the repeating units a1, a2, b1, b2, b3, b4, b, c, d1, d2, d3, e, f, the ratio of the repeating units is 0 <a1 <1.0, 0 ≦ a2 <1. 0, 0 ≦ b1 <1.0, 0 ≦ b2 <1.0, 0 ≦ b3 <1.0, 0 ≦ b4 <1.0, 0 ≦ a2 + b1 + b2 + b3 + b4 <1.0, 0 <c <1.0, 0 ≦ d1 ≦ 0.3, 0 ≦ d2 ≦ 0.3, 0 ≦ d3 ≦ 0.3, 0 ≦ d1 + d2 + d3 ≦ 0.3, 0 ≦ e ≦ 0.4, 0 ≦ f ≦ 0.6, preferably 0.1 ≦ a1 ≦ 0.9, 0 ≦ a2 ≦ 0.9, 0.1 ≦ a1 + a2 ≦ 0.9, 0 ≦ b1 ≦ 0.9, 0 ≦ b2 ≦ 0.9, 0 ≦ b3 ≦ 0. 9, 0 ≦ b4 ≦ 0.9, 0.1 ≦ a1 + a2 + b1 + b2 + b3 + b4 ≦ 0.9, 0.1 ≦ c ≦ 0.9, 0 ≦ d1 ≦ 0.2, 0 ≦ d2 ≦ 0.2, 0 ≦ d3 ≦ .2,0 ranges ≦ d1 + d2 + d3 ≦ 0.2,0 ≦ e ≦ 0.3,0 ≦ f ≦ 0.5. Note that a1 + a2 + b1 + b2 + b3 + b4 + c + d1 + d2 + d3 + e + f = 1.

本発明のパターン形成方法に用いられるレジスト組成物のベース樹脂となる高分子化合物は、テトラヒドロフラン(THF)溶液によるゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量が1,000〜500,000、特に2,000〜30,000であることが好ましい。重量平均分子量が小さすぎると有機溶剤現像時に膜減りを生じ易くなったり、大きすぎると有機溶剤への溶解性が低下し、パターン形成後に裾引き現象が生じ易くなる可能性がある。   The polymer compound serving as the base resin of the resist composition used in the pattern forming method of the present invention has a polystyrene-reduced weight average molecular weight of 1,000 to 500,000 by gel permeation chromatography (GPC) using a tetrahydrofuran (THF) solution. In particular, 2,000 to 30,000 is preferable. If the weight average molecular weight is too small, film loss tends to occur at the time of organic solvent development, and if it is too large, the solubility in the organic solvent decreases, and the trailing phenomenon may easily occur after pattern formation.

更に、本発明のパターン形成方法に用いられるレジスト組成物のベース樹脂となる高分子化合物においては、分子量分布(Mw/Mn)が広い場合は低分子量や高分子量のポリマーが存在するために露光後、パターン上に異物が見られたり、パターンの形状が悪化したりするおそれがある。それ故、パターンルールが微細化するに従ってこのような分子量、分子量分布の影響が大きくなり易いことから、微細なパターン寸法に好適に用いられるレジスト組成物を得るには、使用する多成分共重合体の分子量分布は1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。   Further, in the high molecular compound used as the base resin of the resist composition used in the pattern forming method of the present invention, when the molecular weight distribution (Mw / Mn) is wide, a low molecular weight or high molecular weight polymer exists, and therefore, after exposure. There is a risk that foreign matter is seen on the pattern or the shape of the pattern is deteriorated. Therefore, since the influence of such molecular weight and molecular weight distribution tends to increase as the pattern rule becomes finer, a multi-component copolymer to be used is used to obtain a resist composition suitably used for fine pattern dimensions. The molecular weight distribution of is preferably 1.0 to 2.0, particularly 1.0 to 1.5, and is narrowly dispersed.

これら高分子化合物を合成するには、1つの方法としては繰り返し単位a1、a2、b1、b2、b3、b4、c、d1、d2、d3、e、fを得るための不飽和結合を有するモノマーを有機溶剤中、ラジカル開始剤を加えて加熱重合を行う方法があり、これにより高分子化合物を得ることができる。重合時に使用する有機溶剤としては、トルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が例示できる。重合開始剤としては、2,2’−アゾビスイソブチロニトリル(AIBN)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が例示でき、好ましくは50〜80℃に加熱して重合できる。反応時間としては2〜100時間、好ましくは5〜20時間である。酸不安定基は、モノマーに導入されたものをそのまま用いてもよいし、重合後保護化あるいは部分保護化してもよい。   One method for synthesizing these polymer compounds is a monomer having an unsaturated bond for obtaining repeating units a1, a2, b1, b2, b3, b4, c, d1, d2, d3, e, and f. There is a method in which a radical initiator is added in an organic solvent to carry out heat polymerization, whereby a polymer compound can be obtained. Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide and the like, and preferably polymerized by heating to 50 to 80 ° C. The reaction time is 2 to 100 hours, preferably 5 to 20 hours. As the acid labile group, those introduced into the monomer may be used as they are, or they may be protected or partially protected after polymerization.

また、組成比率や分子量分布や分子量が異なる2つ以上のポリマーをブレンドしたり、一般式(1)で示される繰り返し単位を含むポリマー、あるいは酸不安定基で置換されたヒドロキシ基あるいはカルボキシル基で置換された従来型の酸不安定基の繰り返し単位、例えば繰り返し単位a2から選ばれる単位やb1〜b4単位を有するポリマーとブレンドすることも可能である。   In addition, two or more polymers having different composition ratios, molecular weight distributions, and molecular weights may be blended, a polymer containing a repeating unit represented by the general formula (1), or a hydroxy group or a carboxyl group substituted with an acid labile group. It is also possible to blend with a polymer having a repeating unit of a substituted conventional acid labile group, for example, a unit selected from the repeating unit a2 or b1 to b4 units.

更には、アルカリ現像によって露光部が溶解する従来型の(メタ)アクリレートポリマー、ポリノルボルネン、シクロオレフィン・無水マレイン酸共重合体、ROMPなどをブレンドすることも可能であるし、アルカリ現像によって露光部は溶解しないが、有機溶剤現像でネガパターンを形成することができるヒドロキシ基が酸不安定基で置換された(メタ)アクリレートポリマー、ポリノルボルネン、シクロオレフィン・無水マレイン酸共重合体をブレンドすることもできる。   Furthermore, it is possible to blend a conventional (meth) acrylate polymer, polynorbornene, cycloolefin / maleic anhydride copolymer, ROMP or the like in which the exposed portion is dissolved by alkali development, Be blended with (meth) acrylate polymer, polynorbornene, cycloolefin / maleic anhydride copolymer in which hydroxy group is substituted with acid labile group, which can form negative pattern by organic solvent development You can also.

本発明のパターン形成方法に用いられるレジスト組成物は、有機溶剤、必要に応じて高エネルギー線に感応して酸を発生する化合物(酸発生剤)、溶解制御剤、塩基性化合物、界面活性剤、アセチレンアルコール類、その他の成分を含有することができる。   The resist composition used in the pattern forming method of the present invention comprises an organic solvent, a compound that generates an acid in response to high energy rays as necessary (acid generator), a dissolution controller, a basic compound, and a surfactant. , Acetylene alcohols, and other components can be contained.

本発明のパターン形成方法に用いられるレジスト組成物は、特に化学増幅ポジ型レジスト組成物として機能させるために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。この場合、光酸発生剤の配合量はベース樹脂100質量部に対し0.5〜30質量部、特に1〜20質量部とすることが好ましい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。これらは単独であるいは2種以上混合して用いることができる。酸発生剤から発生してくる酸としては、スルホン酸、イミド酸、メチド酸を挙げることができる。これらの中でα位がフッ素化されたスルホン酸が最も一般的に用いられるが、酸不安定基が脱保護し易いアセタールの場合は必ずしもα位がフッ素化されている必要はない。ベースポリマーとして酸発生剤の繰り返し単位d1、d2、d3を共重合している場合は、添加型の酸発生剤は必ずしも必須ではない。   The resist composition used in the pattern forming method of the present invention may contain an acid generator, particularly for functioning as a chemically amplified positive resist composition, for example, a compound that generates an acid in response to actinic rays or radiation. (Photoacid generator) may be contained. In this case, the compounding amount of the photoacid generator is preferably 0.5 to 30 parts by mass, particularly 1 to 20 parts by mass with respect to 100 parts by mass of the base resin. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. These can be used alone or in admixture of two or more. Examples of the acid generated from the acid generator include sulfonic acid, imide acid, and methide acid. Of these, a sulfonic acid having a fluorinated α-position is most commonly used. However, in the case of an acetal in which an acid labile group is easily deprotected, the α-position is not necessarily fluorinated. When the repeating units d1, d2, and d3 of the acid generator are copolymerized as the base polymer, the addition type acid generator is not necessarily essential.

有機溶剤の具体例としては、特開2008−111103号公報の段落[0144]〜[0145]に記載のシクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類及びその混合溶剤が挙げられる。アセタール系の酸不安定基を用いる場合は、アセタールの脱保護反応を加速させるために高沸点のアルコール系溶剤、具体的にはジエチレングリコール、プロピレングリコール、グリセリン、1,4−ブタンジオール、1,3−ブタンジオール等を加えることもできる。   Specific examples of the organic solvent include ketones such as cyclohexanone and methyl-2-n-amyl ketone described in paragraphs [0144] to [0145] of JP-A-2008-111103, 3-methoxybutanol, 3-methyl- Alcohols such as 3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether , Ethers such as diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, pyruvic acid Esters such as chill, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, lactones such as γ-butyrolactone, and the like The mixed solvent is mentioned. When an acetal acid labile group is used, a high-boiling alcohol solvent such as diethylene glycol, propylene glycol, glycerin, 1,4-butanediol, 1,3 is used to accelerate the deprotection reaction of acetal. -Butanediol etc. can also be added.

本発明は、アミン類などの塩基性化合物を添加することもできる。
塩基性化合物としては、特開2008−111103号公報の段落[0146]〜[0164]に記載の1級、2級、3級のアミン化合物、特にはヒドロキシ基、エーテル基、エステル基、ラクトン環、シアノ基、スルホン酸エステル基を有するアミン化合物あるいは特許第3790649号公報に記載のカルバメート基を有する化合物を挙げることができる。
また、特開2008−158339号公報に記載されているα位がフッ素化されていないスルホン酸、及び特許第3991462号公報に記載のカルボン酸のスルホニウム塩、ヨードニウム塩、アンモニウム塩等のオニウム塩をクエンチャーとして併用することもできる。
酸不安定基が酸に対して特に敏感なアセタールである場合は、保護基を脱離させるための酸は必ずしもα位がフッ素化されたスルホン酸、イミド酸、メチド酸でなくてもよく、α位がフッ素化されていないスルホン酸でも脱保護反応が進行する場合がある。このときのクエンチャーとしてはスルホン酸のオニウム塩を用いることができないため、このような場合はカルボン酸のオニウム塩単独で用いることが好ましい。
界面活性剤は特開2008−111103号公報の段落[0165]〜[0166]、溶解制御剤は特開2008−122932号公報の段落[0155]〜[0178]、アセチレンアルコール類は特開2008−122932号公報の段落[0179]〜[0182]に記載のものを用いることができる。
In the present invention, basic compounds such as amines can also be added.
Examples of the basic compound include primary, secondary, and tertiary amine compounds described in paragraphs [0146] to [0164] of JP-A-2008-111103, particularly hydroxy groups, ether groups, ester groups, and lactone rings. And an amine compound having a cyano group or a sulfonic acid ester group, or a compound having a carbamate group described in Japanese Patent No. 3790649.
Further, sulfonic acids that are not fluorinated at the α-position described in JP 2008-158339 A, and onium salts such as sulfonium salts, iodonium salts, and ammonium salts of carboxylic acids described in Japanese Patent No. 3991462. Can also be used as a quencher.
When the acid labile group is an acetal that is particularly sensitive to acids, the acid for removing the protecting group does not necessarily need to be sulfonic acid, imide acid, or methide acid fluorinated at the α-position, The deprotection reaction may proceed even with a sulfonic acid in which the α-position is not fluorinated. Since the onium salt of sulfonic acid cannot be used as the quencher at this time, it is preferable to use the onium salt of carboxylic acid alone in such a case.
The surfactants are paragraphs [0165] to [0166] of JP-A-2008-111103, the dissolution control agents are paragraphs [0155] to [0178] of JP-A-2008-122932, and the acetylene alcohols are JP-A-2008-. Those described in paragraphs [0179] to [0182] of No. 122932 can be used.

スピンコート後のレジスト表面の撥水性を向上させるための高分子化合物を添加することもできる。この添加剤はトップコートを用いない液浸リソグラフィーに用いることができる。このような添加剤は特定構造の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有し、特開2007−297590号公報、特開2008−111103号公報、特開2012−128067号公報に例示されている。レジスト組成物に添加される撥水性向上剤は、現像液の有機溶剤に溶解する必要がある。前述の特定の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する撥水性向上剤は、現像液への溶解性が良好である。撥水性の添加剤として、アミノ基やアミン塩を繰り返し単位として共重合した高分子化合物は、PEB中の酸の蒸発を防いで現像後のホールパターンの開口不良を防止する効果が高い。撥水性向上剤の添加量は、レジスト組成物のベース樹脂100質量部に対して0.1〜20質量部、好ましくは0.5〜10質量部である。   A polymer compound for improving the water repellency of the resist surface after spin coating can also be added. This additive can be used in immersion lithography without a topcoat. Such an additive has a 1,1,1,3,3,3-hexafluoro-2-propanol residue having a specific structure, and is disclosed in JP-A-2007-297590, JP-A-2008-111103, This is exemplified in Japanese Unexamined Patent Publication No. 2012-128067. The water repellency improver added to the resist composition must be dissolved in the organic solvent of the developer. The above-mentioned water repellent improver having a specific 1,1,1,3,3,3-hexafluoro-2-propanol residue has good solubility in a developer. As a water-repellent additive, a polymer compound copolymerized with amino groups or amine salts as a repeating unit has a high effect of preventing the evaporation of an acid in PEB and preventing a defective opening of a hole pattern after development. The addition amount of the water repellency improver is 0.1 to 20 parts by mass, preferably 0.5 to 10 parts by mass with respect to 100 parts by mass of the base resin of the resist composition.

なお、有機溶剤の配合量はベース樹脂100質量部に対し100〜10,000質量部、特に300〜8,000質量部とすることが好ましい。また、塩基性化合物の配合量はベース樹脂100質量部に対し0.0001〜30質量部、特に0.001〜20質量部とすることが好ましい。
また、溶解制御剤、界面活性剤、アセチレンアルコール類の配合量は、その配合目的に応じて適宜選定し得る。
In addition, it is preferable that the compounding quantity of an organic solvent shall be 100-10,000 mass parts with respect to 100 mass parts of base resins, especially 300-8,000 mass parts. Moreover, it is preferable that the compounding quantity of a basic compound shall be 0.0001-30 mass parts with respect to 100 mass parts of base resins, especially 0.001-20 mass parts.
Moreover, the compounding quantity of a dissolution control agent, surfactant, and acetylene alcohol can be suitably selected according to the compounding purpose.

上記レジスト組成物は、上述したように、基板上に塗布してレジスト膜を形成し、加熱処理後に高エネルギー線をこのレジスト膜の所用部分に照射、露光し、加熱処理後に有機溶剤の現像液を用いて上記レジスト膜の未露光部分を溶解、露光部分が膜として残りホールやトレンチ等のネガティブトーンのレジストパターンを形成する。   As described above, the resist composition is applied onto a substrate to form a resist film, and after heat treatment, a high energy ray is irradiated and exposed to a desired portion of the resist film. After the heat treatment, an organic solvent developer Is used to dissolve the unexposed portion of the resist film, and the exposed portion remains as a film to form a negative tone resist pattern such as holes and trenches.

本発明に係るパターニング方法は、図1に示される。この場合、図1(A)に示したように、本発明においては基板10上に形成した被加工基板20に直接又は中間介在層30を介してポジ型レジスト組成物を基板上に塗布してレジスト膜40を形成する。レジスト膜の厚さとしては、10〜1,000nm、特に20〜500nmであることが好ましい。このレジスト膜は、露光前に加熱(プリベーク)を行うが、この条件としては60〜180℃、特に70〜150℃で10〜300秒間、特に15〜200秒間行うことが好ましい。
なお、基板10としては、シリコン基板が一般的に用いられる。被加工基板20としては、SiO2、SiN、SiON、SiOC、p−Si、α−Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、低誘電膜及びそのエッチングストッパー膜が挙げられる。中間介在層30としては、SiO2、SiN、SiON、p−Si等のハードマスク、カーボン膜による下層膜と珪素含有中間膜、有機反射防止膜等が挙げられる。
The patterning method according to the present invention is shown in FIG. In this case, as shown in FIG. 1A, in the present invention, a positive resist composition is applied on the substrate 20 to be processed formed on the substrate 10 directly or via the intermediate intervening layer 30. A resist film 40 is formed. The thickness of the resist film is preferably 10 to 1,000 nm, particularly 20 to 500 nm. This resist film is heated (pre-baked) before exposure, and as this condition, it is preferable to carry out at 60 to 180 ° C., particularly 70 to 150 ° C. for 10 to 300 seconds, and particularly 15 to 200 seconds.
As the substrate 10, a silicon substrate is generally used. Examples of the substrate to be processed 20 include SiO 2 , SiN, SiON, SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, a low dielectric film, and an etching stopper film thereof. It is done. Examples of the intermediate intervening layer 30 include hard masks such as SiO 2 , SiN, SiON, and p-Si, a lower layer film made of a carbon film, a silicon-containing intermediate film, and an organic antireflection film.

次いで、図1(B)に示すように露光50を行う。ここで、露光は波長140〜250nmの高エネルギー線、波長13.5nmのEUV、電子ビーム(EB)が挙げられるが、中でもArFエキシマレーザーによる193nmの露光が最も好ましく用いられる。露光は大気中や窒素気流中のドライ雰囲気でもよいし、水中の液浸露光であってもよい。ArF液浸リソグラフィーにおいては液浸溶剤として純水、又はアルカン等の屈折率が1以上で露光波長に高透明の液体が用いられる。液浸リソグラフィーでは、プリベーク後のレジスト膜と投影レンズの間に、純水やその他の液体を挿入する。これによってNAが1.0以上のレンズ設計が可能となり、より微細なパターン形成が可能になる。液浸リソグラフィーはArFリソグラフィーを45nmノードまで延命させるための重要な技術である。液浸露光の場合は、レジスト膜上に残った水滴残りを除去するための露光後の純水リンス(ポストソーク)を行ってもよいし、レジスト膜からの溶出物を防ぎ、膜表面の滑水性を上げるために、プリベーク後のレジスト膜上に保護膜を形成させてもよい。液浸リソグラフィーに用いられるレジスト保護膜を形成する材料としては、例えば、水に不溶でアルカリ現像液に溶解する1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する高分子化合物をベースとし、炭素数4以上のアルコール系溶剤、炭素数8〜12のエーテル系溶剤、又はこれらの混合溶剤に溶解させた材料が好ましい。この場合、保護膜形成用組成物は、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する繰り返し単位等のモノマーから得られるものが挙げられる。保護膜は有機溶剤の現像液に溶解する必要があるが、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する繰り返し単位からなる高分子化合物は前述の有機溶剤現像液に溶解する。特に、特開2007−25634号公報、特開2008−3569号公報に例示の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する保護膜材料の有機溶剤現像液に対する溶解性は高い。   Next, exposure 50 is performed as shown in FIG. Here, examples of the exposure include high energy rays having a wavelength of 140 to 250 nm, EUV having a wavelength of 13.5 nm, and an electron beam (EB). Among them, exposure at 193 nm with an ArF excimer laser is most preferably used. The exposure may be a dry atmosphere in the air or a nitrogen stream, or may be immersion exposure in water. In ArF immersion lithography, pure water or an alkane or the like having a refractive index of 1 or more and a highly transparent liquid at the exposure wavelength is used as an immersion solvent. In immersion lithography, pure water or other liquid is inserted between a pre-baked resist film and a projection lens. As a result, a lens with an NA of 1.0 or more can be designed, and a finer pattern can be formed. Immersion lithography is an important technique for extending the life of ArF lithography to the 45 nm node. In the case of immersion exposure, pure water rinsing (post-soak) after exposure to remove the water droplet residue remaining on the resist film may be performed, and elution from the resist film is prevented, and the surface lubricity of the film is prevented. In order to increase the thickness, a protective film may be formed on the resist film after pre-baking. As a material for forming a resist protective film used in immersion lithography, for example, it has a 1,1,1,3,3,3-hexafluoro-2-propanol residue that is insoluble in water and soluble in an alkaline developer. A material based on a polymer compound and dissolved in an alcohol solvent having 4 or more carbon atoms, an ether solvent having 8 to 12 carbon atoms, or a mixed solvent thereof is preferable. In this case, the protective film-forming composition may be obtained from a monomer such as a repeating unit having a 1,1,1,3,3,3-hexafluoro-2-propanol residue. Although the protective film needs to be dissolved in an organic solvent developer, the polymer compound composed of a repeating unit having a 1,1,1,3,3,3-hexafluoro-2-propanol residue is the above-mentioned organic solvent. Dissolve in developer. In particular, an organic solvent developer of a protective film material having a 1,1,1,3,3,3-hexafluoro-2-propanol residue exemplified in JP2007-25634A and JP20083569A Is highly soluble.

保護膜形成用組成物にアミン化合物又はアミン塩を配合あるいはアミノ基又はアミン塩を有する繰り返し単位を共重合した高分子化合物を用いることは、フォトレジスト膜の露光部から発生した酸の未露光部分への拡散を制御し、ホールの開口不良を防止する効果が高い。アミン化合物を添加した保護膜材料としては特開2008−3569号公報に記載の材料、アミノ基又はアミン塩を共重合した保護膜材料としては特開2007−316448号公報に記載の材料を用いることができる。アミン化合物、アミン塩としては、上記フォトレジスト添加用の塩基性化合物として詳述したものの中から選定することができる。アミン化合物、アミン塩の配合量は、ベース樹脂100質量部に対して0.01〜10質量部、特に0.02〜8質量部が好ましい。   It is possible to add an amine compound or an amine salt to the composition for forming a protective film, or to use a polymer compound copolymerized with a repeating unit having an amino group or an amine salt. The effect of controlling the diffusion into the hole and preventing the opening failure of the hole is high. As the protective film material to which an amine compound is added, the material described in JP 2008-3569 A, and as the protective film material copolymerized with an amino group or an amine salt, the material described in JP 2007-316448 is used. Can do. The amine compound and amine salt can be selected from those described in detail as the basic compound for photoresist addition. The compounding amount of the amine compound and the amine salt is preferably 0.01 to 10 parts by mass, particularly 0.02 to 8 parts by mass with respect to 100 parts by mass of the base resin.

フォトレジスト膜形成後に、純水リンス(ポストソーク)を行うことによってレジスト膜表面からの酸発生剤等の抽出、あるいはパーティクルの洗い流しを行ってもよいし、露光後に膜上に残った水を取り除くためのリンス(ポストソーク)を行ってもよい。PEB中に露光部から蒸発した酸が未露光部に付着し、未露光部分の表面の保護基を脱保護させると、現像後のホールの表面がブリッジして閉塞する可能性がある。特にネガティブ現像におけるホールの外側は、光が照射されて酸が発生している。PEB中にホールの外側の酸が蒸発し、ホールの内側に付着するとホールが開口しないことが起きる。酸の蒸発を防いでホールの開口不良を防ぐために保護膜を適用することは効果的である。更に、アミン化合物又はアミン塩を添加した保護膜は、酸の蒸発を効果的に防ぐことができる。一方、カルボキシル基やスルホ基等の酸化合物を添加、あるいはカルボキシル基やスルホ基を有するモノマーを共重合したポリマーをベースとした保護膜を用いた場合は、ホールの未開口現象が起きることがあり、このような保護膜を用いることは好ましくない。   After the photoresist film is formed, pure water rinsing (post-soak) may be performed to extract an acid generator or the like from the resist film surface or to wash out particles, or to remove water remaining on the film after exposure. Rinse (post-soak) may be performed. If the acid evaporated from the exposed area during PEB adheres to the unexposed area and the protective group on the surface of the unexposed area is deprotected, the surface of the hole after development may be bridged and blocked. In particular, the outside of the hole in negative development is irradiated with light and acid is generated. If the acid outside the hole evaporates during PEB and adheres to the inside of the hole, the hole may not open. It is effective to apply a protective film in order to prevent acid evaporation and to prevent defective opening of holes. Furthermore, the protective film to which an amine compound or an amine salt is added can effectively prevent acid evaporation. On the other hand, when an acid compound such as a carboxyl group or a sulfo group is added or a protective film based on a polymer obtained by copolymerizing a monomer having a carboxyl group or a sulfo group is used, a phenomenon of non-opening of holes may occur. It is not preferable to use such a protective film.

このように、本発明においては、酸不安定基で置換された一般式(1)で示される特定のヒドロキシ基を有する繰り返し単位を含有する高分子化合物と、必要に応じて酸発生剤と、有機溶剤とを含むレジスト組成物を基板上に塗布し、加熱処理後に保護膜を形成し、高エネルギー線で上記レジスト膜を露光し、加熱処理後に有機溶剤による現像液を用いて保護膜と未露光部を溶解させ、露光部が溶解しないネガ型パターンを得ることが好ましく、この場合、保護膜を形成する材料として、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する高分子化合物をベースとしてアミノ基又はアミン塩を有する化合物を添加した材料、あるいは前記高分子化合物中にアミノ基又はアミン塩を有する繰り返し単位を共重合した材料をベースとし、炭素数4以上のアルコール系溶剤、炭素数8〜12のエーテル系溶剤、又はこれらの混合溶剤に溶解させた材料を用いることが好ましい。   Thus, in the present invention, a polymer compound containing a repeating unit having a specific hydroxy group represented by the general formula (1) substituted with an acid labile group, and an acid generator as necessary, A resist composition containing an organic solvent is applied onto the substrate, a protective film is formed after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, the protective film is uncoated with a developer using an organic solvent. It is preferable to obtain a negative pattern in which the exposed portion is dissolved and the exposed portion is not dissolved. In this case, 1,1,1,3,3,3-hexafluoro-2-propanol residue is used as a material for forming the protective film. A material obtained by adding a compound having an amino group or an amine salt based on a polymer compound having a group, or a material obtained by copolymerizing a repeating unit having an amino group or an amine salt in the polymer compound Based, and the number 4 or higher alcohol-based solvents atoms, ether solvent having 8 to 12 carbon atoms, or be a material dissolved in a mixture of these solvents preferred.

1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する繰り返し単位としては、[化55]、[化56]、[化57]で示したモノマーの内、ヒドロキシ基を有するモノマーを挙げることができる。
アミノ基を有する化合物としては、フォトレジスト組成物に添加される特開2008−111103号公報の段落[0146]〜[0164]に記載のアミン化合物を用いることができる。
アミン塩を有する化合物としては、前記アミン化合物のカルボン酸塩又はスルホン酸塩を用いることができる。
炭素数4以上のアルコール系溶剤としては、1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−エチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、1−オクタノールなどを挙げることができる。
炭素数8〜12のエーテル系溶剤としては、ジ−n−ブチルエーテル、ジイソブチルエーテル、ジ−sec−ブチルエーテル、ジ−n−ペンチルエーテル、ジイソペンチルエーテル、ジ−sec−ペンチルエーテル、ジ−tert−アミルエーテル、ジ−n−ヘキシルエーテルを挙げることができる。
As the repeating unit having a 1,1,1,3,3,3-hexafluoro-2-propanol residue, among the monomers represented by [Chemical Formula 55], [Chemical Formula 56], and [Chemical Formula 57], a hydroxy group The monomer which has can be mentioned.
As the compound having an amino group, amine compounds described in paragraphs [0146] to [0164] of JP-A-2008-111103 added to the photoresist composition can be used.
As the compound having an amine salt, a carboxylate or sulfonate of the amine compound can be used.
Examples of the alcohol solvent having 4 or more carbon atoms include 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, tert-amyl alcohol, neo Pentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl- 2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2- Examples include butanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, 1-octanol and the like. .
Examples of the ether solvent having 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-sec-butyl ether, di-n-pentyl ether, diisopentyl ether, di-sec-pentyl ether, di-tert- Examples thereof include amyl ether and di-n-hexyl ether.

露光における露光量は1〜200mJ/cm2程度、好ましくは10〜100mJ/cm2程度となるように露光することが好ましい。次に、ホットプレート上で60〜150℃、1〜5分間、好ましくは80〜120℃、1〜3分間ポストエクスポージャベーク(PEB)する。 It is preferable to expose so that the exposure amount in exposure is about 1 to 200 mJ / cm 2 , preferably about 10 to 100 mJ / cm 2 . Next, post exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably 80 to 120 ° C. for 1 to 3 minutes.

更に、図1(C)に示されるように有機溶剤の現像液を用い、0.1〜3分間、好ましくは0.5〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより未露光部分が溶解するネガティブパターンが基板上に形成される。このときの現像液としては、2−オクタノン、2−ノナノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2−ヘキサノン、3−ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノン等のケトン類、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸アミル、酢酸ブテニル、酢酸イソアミル、蟻酸プロピル、蟻酸ブチル、蟻酸イソブチル、蟻酸アミル、蟻酸イソアミル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、プロピオン酸メチル、プロピオン酸エチル、3−エトキシプロピオン酸エチル、乳酸メチル、乳酸エチル、乳酸プロピル、乳酸ブチル、乳酸イソブチル、乳酸アミル、乳酸イソアミル、2−ヒドロキシイソ酪酸メチル、2−ヒドロキシイソ酪酸エチル、安息香酸メチル、安息香酸エチル、酢酸フェニル、酢酸ベンジル、フェニル酢酸メチル、蟻酸ベンジル、蟻酸フェニルエチル、3−フェニルプロピオン酸メチル、プロピオン酸ベンジル、フェニル酢酸エチル、酢酸2−フェニルエチル等のエステル類を好ましく用いることができる。現像液は上記現像液の1種以上を用いることができ、複数種を任意の割合で混合することができる。現像液に界面活性剤を添加することもできる。界面活性剤の種類としては、レジストに添加するものと同じ種類を適用することができる。   Furthermore, as shown in FIG. 1C, using an organic solvent developer, the immersion method (dip), paddle method, spray (0.1-3 minutes, preferably 0.5-2 minutes) A negative pattern in which the unexposed portion is dissolved is formed on the substrate by development by a conventional method such as a spray method. As the developer at this time, ketones such as 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutylketone, methylcyclohexanone, acetophenone, methylacetophenone, etc. Propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonic acid, ethyl crotonic acid, Methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, 2-hydroxyiso Ethyl acetate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, 2-phenylethyl acetate, etc. Esters can be preferably used. As the developer, one or more of the above-described developers can be used, and a plurality of the developers can be mixed in an arbitrary ratio. A surfactant can also be added to the developer. As the type of the surfactant, the same type as that added to the resist can be applied.

現像の終了時には、リンスを行う。リンス液としては、現像液と混溶し、レジスト膜を溶解させない溶剤が好ましい。このような溶剤としては、炭素数3〜10のアルコール、炭素数8〜12のエーテル化合物、炭素数6〜12のアルカン、アルケン、アルキン、芳香族系の溶剤が好ましく用いられる。   At the end of development, rinse is performed. As the rinsing liquid, a solvent which is mixed with the developer and does not dissolve the resist film is preferable. As such a solvent, alcohols having 3 to 10 carbon atoms, ether compounds having 8 to 12 carbon atoms, alkanes having 6 to 12 carbon atoms, alkenes, alkynes, and aromatic solvents are preferably used.

具体的に、炭素数6〜12のアルカンとしては、ヘキサン、ヘプタン、オクタン、ノナン、デカン、ウンデカン、ドデカン、メチルシクロペンタン、ジメチルシクロペンタン、シクロヘキサン、メチルシクロヘキサン、ジメチルシクロヘキサン、シクロヘプタン、シクロオクタン、シクロノナンなどが挙げられる。炭素数6〜12のアルケンとしては、ヘキセン、ヘプテン、オクテン、シクロヘキセン、メチルシクロヘキセン、ジメチルシクロヘキセン、シクロヘプテン、シクロオクテンなどが挙げられ、炭素数6〜12のアルキンとしては、ヘキシン、ヘプチン、オクチンなどが挙げられ、炭素数3〜10のアルコールとしては、n−プロピルアルコール、イソプロピルアルコール、1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−エチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、1−オクタノールなどが挙げられる。
炭素数8〜12のエーテル化合物としては、ジ−n−ブチルエーテル、ジイソブチルエーテル、ジ−sec−ブチルエーテル、ジ−n−ペンチルエーテル、ジイソペンチルエーテル、ジ−sec−ペンチルエーテル、ジ−tert−アミルエーテル、ジ−n−ヘキシルエーテルから選ばれる1種以上の溶剤が挙げられる。
前述の溶剤に加えてトルエン、キシレン、エチルベンゼン、イソプロピルベンゼン、tert−ブチルベンゼン、メシチレン等の芳香族系の溶剤を用いることもできる。
リンスを行うことによってレジストパターンの倒れや欠陥の発生を低減させることができる。また、リンスは必ずしも必須ではなく、リンスを行わないことによって溶剤の使用量を削減することができる。
Specifically, as the alkane having 6 to 12 carbon atoms, hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, And cyclononane. Examples of the alkene having 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene. Examples of the alkyne having 6 to 12 carbon atoms include hexyne, heptin, and octyne. Examples of the alcohol having 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3 -Pentanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexyl Nord, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1- Pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4- Examples thereof include methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol.
Examples of the ether compound having 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-sec-butyl ether, di-n-pentyl ether, diisopentyl ether, di-sec-pentyl ether, and di-tert-amyl. One or more kinds of solvents selected from ether and di-n-hexyl ether are exemplified.
In addition to the aforementioned solvents, aromatic solvents such as toluene, xylene, ethylbenzene, isopropylbenzene, tert-butylbenzene, and mesitylene can also be used.
By performing the rinsing, it is possible to reduce the collapse of the resist pattern and the occurrence of defects. Moreover, rinsing is not always essential, and the amount of solvent used can be reduced by not rinsing.

反転後のホールパターンをRELACSTM技術でシュリンクすることもできる。ホールパターン上にシュリンク剤を塗布し、ベーク中のレジスト層からの酸触媒の拡散によってレジストの表面でシュリンク剤の架橋が起こり、シュリンク剤がホールパターンの側壁に付着する。ベーク温度は70〜180℃、好ましくは80〜170℃で、時間は10〜300秒であり、余分なシュリンク剤を除去しホールパターンを縮小させる。 The hole pattern after inversion can also be shrunk using RELACS technology. A shrink agent is applied onto the hole pattern, and the crosslinking of the shrink agent occurs on the surface of the resist due to the diffusion of the acid catalyst from the resist layer during baking, and the shrink agent adheres to the sidewall of the hole pattern. The baking temperature is 70 to 180 ° C., preferably 80 to 170 ° C., and the time is 10 to 300 seconds. The excess shrink agent is removed to reduce the hole pattern.

ネガティブトーン現像によってホールパターンを形成する場合、X、Y方向の2回のラインパターンのダイポール照明による露光を行うことが最もコントラストが高い光を用いることができる。ダイポール照明に併せてs偏光照明を加えると、更にコントラストを挙げることができる。   When a hole pattern is formed by negative tone development, it is possible to use light having the highest contrast when exposure is performed by dipole illumination of two line patterns in the X and Y directions. If s-polarized illumination is added to the dipole illumination, the contrast can be further increased.

特開2011−170316号公報の段落[0097]に記載のようにハーフトーン位相シフトマスクを用い、格子状のシフター格子の交点に現像後のホールパターンを形成することもできる。格子状パターンが透過率3〜15%のハーフトーン位相シフトマスクであることが好ましい。この場合、ハーフピッチ以下のライン幅による格子状の第1のシフターと、第1のシフター上に第1のシフターの線幅よりもウエハー上の寸法で2〜30nm太い第2のシフターが配列された位相シフトマスクを用い、太いシフターが配列されたところだけにホールパターンを形成すること、あるいはハーフピッチ以下のライン幅による格子状の第1のシフターと、第1のシフター上に第1のシフターの線幅よりもウエハー上の寸法で2〜100nm太いドットパターンの第2のシフターが配列された位相シフトマスクを用い、太いシフターが配列されたところだけにホールパターンを形成することが好ましい。   As described in paragraph [0097] of Japanese Patent Application Laid-Open No. 2011-170316, a halftone phase shift mask can be used to form a hole pattern after development at the intersection of a lattice-like shifter lattice. The lattice pattern is preferably a halftone phase shift mask having a transmittance of 3 to 15%. In this case, a lattice-shaped first shifter having a line width of half a pitch or less and a second shifter having a dimension on the wafer that is 2 to 30 nm thicker than the line width of the first shifter are arranged on the first shifter. A hole pattern is formed only where the thick shifters are arranged using the phase shift mask, or a lattice-shaped first shifter having a line width equal to or less than a half pitch, and the first shifter on the first shifter. It is preferable to use a phase shift mask in which second shifters having a dot pattern 2 to 100 nm thicker than the line width are arranged on the wafer, and to form a hole pattern only where the thick shifters are arranged.

以下、更に詳述すると、X、Y方向のラインを2回のダイポール照明と偏光照明を組み合わせた露光は、最も高コントラストの光が形成される方法であるが、2回の露光とその間のマスクの交換によってスループットが大幅に低下する欠点がある。マスクを交換しながら2回の露光を連続して行うためには、露光装置側のマスクのステージを2つ設ける必要があるが、現在の露光装置のマスクのステージは1つである。この場合、1枚露光する毎にマスクを交換するのではなく、FOUP(ウエハーケース)に入った25枚ウエハーをX方向のラインの露光を連続して行い、次にマスクを交換して同じ25枚のウエハーを連続してY方向のラインの露光を行う方がスループットを上げることができる。しかしながら、25枚のウエハーの最初のウエハーが次の露光されるまでの時間が長くなることによって環境の影響で現像後のレジスト膜の寸法や形状が変化してしまう問題が生じる。2回目の露光までのウエハー待機中の環境の影響を遮断するために、レジスト膜の上層に保護膜を敷くことが有効である。
マスクを1枚で済ませるために、格子状のパターンのマスクを用いてX、Y方向のそれぞれのダイポール照明で2回露光する方法が提案されている(前述非特許文献1)。この方法では、前述の2枚のマスクを用いる方法に比べると光学コントラストが若干低下するが、1枚のマスクを用いることができるためにスループットが向上する。前述の非特許文献1では、格子状のパターンのマスクを用いてX方向のダイポール照明によってX方向のラインを形成し、光照射によってX方向のラインを不溶化し、この上にもう一度フォトレジスト組成物を塗布し、Y方向のダイポール照明によってY方向のラインを形成し、X方向のラインとY方向のラインの隙間にホールパターンを形成している。この方法では、マスクは1枚で済むが、2回の露光の間に1回目のフォトレジストパターンの不溶化処理と2回目のフォトレジストの塗布と現像のプロセスが入るために、2回の露光間にウエハーが露光ステージから離れ、このときにアライメントエラーが大きくなる問題が生じる。2回の露光間のアライメントエラーを最小にするためには、ウエハーを露光ステージから離さずに連続して2回の露光を行う必要がある。ダイポール照明にs偏光照明を加えると更にコントラストが向上するので好ましく用いられる。格子状のマスクを用いてX方向のラインとY方向のラインを形成する2回の露光を重ねて行ってネガティブトーンの現像を行うと、ホールパターンが形成される。
格子状のマスクを用いて1回の露光でホールパターンを形成する場合は、4重極照明(クロスポール照明)を用いる。これにX−Y偏光照明あるいは円形偏光のAzimuthally偏光照明を組み合わせてコントラストを向上させる。
In more detail below, exposure in which X and Y direction lines are combined with two dipole illuminations and polarized illumination is a method in which the light with the highest contrast is formed. There is a disadvantage that the throughput is greatly reduced by exchanging the. In order to perform two exposures continuously while exchanging the mask, it is necessary to provide two mask stages on the exposure apparatus side, but there is only one mask stage in the current exposure apparatus. In this case, instead of changing the mask every time one sheet is exposed, the 25 wafers contained in the FOUP (wafer case) are continuously exposed in the X-direction line, and then the mask is changed to the same 25. The throughput can be improved by continuously exposing the wafer in the Y direction on a single wafer. However, since the time until the first wafer of the 25 wafers is subjected to the next exposure becomes longer, there arises a problem that the dimension and shape of the resist film after development change due to the influence of the environment. In order to block the influence of the environment during wafer standby until the second exposure, it is effective to lay a protective film on the upper layer of the resist film.
In order to use only one mask, a method has been proposed in which exposure is performed twice with dipole illumination in the X and Y directions using a mask having a lattice pattern (Non-Patent Document 1). In this method, the optical contrast is slightly lowered as compared with the method using the two masks described above, but the throughput is improved because one mask can be used. In the above-mentioned Non-Patent Document 1, an X-direction line is formed by dipole illumination in the X direction using a mask having a lattice pattern, and the X-direction line is insolubilized by light irradiation. The Y direction line is formed by dipole illumination in the Y direction, and a hole pattern is formed in the gap between the X direction line and the Y direction line. In this method, only one mask is required. However, since the first photoresist pattern insolubilization process and the second photoresist application and development process are performed between the two exposures, the two masks are exposed between the two exposures. In this case, the wafer is separated from the exposure stage, and the alignment error becomes large at this time. In order to minimize the alignment error between two exposures, it is necessary to perform two exposures continuously without separating the wafer from the exposure stage. Addition of s-polarized illumination to dipole illumination is preferred because it further improves contrast. When a negative tone is developed by overlapping two exposures that form a line in the X direction and a line in the Y direction using a lattice-shaped mask, a hole pattern is formed.
When a hole pattern is formed by a single exposure using a lattice mask, quadrupole illumination (cross pole illumination) is used. This is combined with XY polarized illumination or circularly polarized azimuthally polarized illumination to improve contrast.

本発明の組成物を用いたホールパターンの形成方法では、露光を2回行う場合、1回目の露光と2回目の露光の照明とマスクを変更して露光を行う方法が最も高コントラストで微細なパターンを寸法均一性よく形成できる。1回目の露光と2回目の露光に用いられるマスクは1回目のラインパターンと2回目のラインとが交差した交点に現像後のレジストのホールパターンを形成する。1回目のラインと2回目のラインの角度は直交が好ましいが、90度以外の角度でも構わなく、1回目のラインの寸法と2回目のラインの寸法やピッチが同じであっても異なってもよい。1回目のラインと、これと異なる位置に2回目のラインが1枚のマスクに有するマスクを用いて1回目の露光と2回目の露光を連続露光することも可能であるが、この場合露光できる最大の面積が半分になる。但し連続露光を行う場合は、アライメントエラーを最小にすることができる。もちろん1回の露光では、2回の連続露光よりもアライメントのエラーを小さくすることができる。
1枚のマスクを用いて、露光面積を縮小することなく2回の露光を行うためには、マスクパターンとしては、格子状のパターンを用いる場合、ドットパターンを用いる場合、ドットパターンと格子状パターンを組み合わせる場合がある。
格子状のパターンを用いる方が最も光のコントラストが向上するが、光の強度が低下するためにレジスト膜の感度が低下する欠点がある。一方ドットパターンを用いる方法は光のコントラストが低下するが、レジスト膜の感度が向上するメリットがある。
ホールパターンが水平と垂直方向に配列されている場合は前記の照明とマスクパターンを用いるが、これ以外の角度例えば45度の方向に配列している場合は、45度に配列しているパターンのマスクとダイポール照明あるいはクロスポール照明を組み合わせる。
2回の露光を行う場合はX方向ラインのコントラストを高めるダイポール照明に偏光照明を組み合わせた露光と、Y方向ラインのコントラストを高めるダイポール照明に偏光照明を組み合わせた2回の露光を行う。1枚のマスクを用いてX方向とY方向のコントラストを強調した2回の連続した露光は、現在の市販のスキャナーで行うことが可能である。
格子状のパターンのマスクを使って、X、Yの偏光照明とクロスポール照明を組み合わせる方法は、2回のダイポール照明の露光に比べると若干光のコントラストが低下するものの1回の露光でホールパターンを形成することができ、かなりのスループットの向上が見込まれるし、2回露光によるアライメントずれの問題は回避される。このようなマスクと照明を用いれば、実用的なコストで40nmクラスのホールパターンを形成することが可能になる。
In the method of forming a hole pattern using the composition of the present invention, when exposure is performed twice, the method of performing exposure by changing the illumination and mask of the first exposure and the second exposure is the highest contrast and finest A pattern can be formed with good dimensional uniformity. The mask used for the first exposure and the second exposure forms a hole pattern of the resist after development at the intersection where the first line pattern and the second line intersect. The angle of the first line and the second line is preferably orthogonal, but may be an angle other than 90 degrees, and the first line dimension and the second line dimension may be the same or different. Good. It is possible to perform the first exposure and the second exposure continuously using a mask that the first line and the second line at a different position are included in one mask. In this case, the exposure can be performed. The maximum area is halved. However, when performing continuous exposure, alignment errors can be minimized. Of course, in one exposure, an alignment error can be made smaller than in two consecutive exposures.
In order to perform exposure twice without reducing the exposure area using a single mask, when using a lattice pattern as a mask pattern, when using a dot pattern, a dot pattern and a lattice pattern May be combined.
The use of a grid pattern improves the light contrast most, but has the disadvantage that the sensitivity of the resist film is lowered because the light intensity is lowered. On the other hand, the method using a dot pattern has the merit of improving the sensitivity of the resist film, although the contrast of light is lowered.
When the hole pattern is arranged in the horizontal and vertical directions, the illumination and the mask pattern are used. However, when the hole pattern is arranged in other directions, for example, in the direction of 45 degrees, the pattern of the patterns arranged in 45 degrees is used. Combine mask and dipole or cross pole lighting.
In the case of performing exposure twice, exposure is performed by combining dipole illumination that increases the contrast of the X direction line with polarized illumination, and exposure is performed twice by combining polarization illumination with the dipole illumination that increases the contrast of the Y direction line. Two consecutive exposures using a single mask to enhance the contrast in the X and Y directions can be performed with a current commercially available scanner.
The method of combining X and Y polarized illumination and cross pole illumination using a lattice pattern mask, although the light contrast is slightly lower than the exposure of two dipole illumination, hole pattern in one exposure Thus, a considerable improvement in throughput is expected, and the problem of misalignment due to double exposure is avoided. If such a mask and illumination are used, a hole pattern of 40 nm class can be formed at a practical cost.

格子状のパターンが配されたマスクでは、格子の交点が強く遮光される。このようなパターンのマスクを用いて露光を行い、ポジネガ反転を伴う有機溶剤による現像を行うことによって微細なホールパターンを形成することができる。
ドットパターンが配置されたマスクにおける光学像コントラストは格子状パターンのマスクに比べて低くなるものの、黒い遮光部分が存在するためにホールパターンの形成は可能である。
ピッチや位置がランダムに配列された微細なホールパターンの形成が困難である。密集パターンは、ダイポール、クロスポール等の斜入射照明に位相シフトマスクと偏光を組み合わせた超解像技術によってコントラストを向上することができるが、孤立パターンのコントラストはそれほど向上しない。
In a mask provided with a lattice pattern, the intersection of the lattices is strongly shielded from light. A fine hole pattern can be formed by performing exposure using a mask having such a pattern and developing with an organic solvent accompanied by positive / negative reversal.
Although the optical image contrast in the mask in which the dot pattern is arranged is lower than that in the lattice pattern mask, the hole pattern can be formed because of the black light-shielding portion.
It is difficult to form a fine hole pattern in which pitches and positions are randomly arranged. The dense pattern can be improved in contrast by super-resolution technology combining a phase shift mask and polarized light with oblique incidence illumination such as dipole and cross pole, but the contrast of the isolated pattern is not improved so much.

密集の繰り返しパターンに対して超解像技術を用いた場合、孤立パターンとの粗密(プロキシミティー)バイアスが問題になる。強い超解像技術を使えば使うほど密集パターンの解像力が向上するが、孤立パターンの解像力は変わらないために、粗密バイアスが拡大する。微細化に伴うホールパターンにおける粗密バイアスの増加は深刻な問題である。粗密バイアスを抑えるために、一般的にはマスクパターンの寸法にバイアスを付けることが行われている。粗密バイアスはフォトレジスト組成物の特性、即ち、溶解コントラストや酸拡散によっても変わるために、フォトレジスト組成物の種類毎にマスクの粗密バイアスが変化する。フォトレジスト組成物の種類毎に粗密バイアスを変えたマスクを用いることになり、マスク製作の負担が増している。そこで、強い超解像照明で密集ホールパターンのみを解像させ、パターンの上に1回目のポジ型レジストパターンを溶解させないアルコール溶剤のネガ型レジスト膜を塗布し、不必要なホール部分を露光、現像することによって閉塞させて密集パターンと孤立パターンの両方を作製する方法(Pack and unpack;PAU法)が提案されている(Proc. SPIE Vol. 5753 p171 (2005))。この方法の問題点は、1回目の露光と2回目の露光の位置ずれが挙げられ、この点については文献の著者も指摘している。また、2回目の現像で塞がれないホールパターンは2回現像されることになり、これによる寸法変化も問題として挙げられる。   When the super-resolution technique is used for a dense repetitive pattern, a coarse / dense (proximity) bias with an isolated pattern becomes a problem. The stronger the super-resolution technology is used, the higher the resolution of the dense pattern, but the resolution of the isolated pattern does not change, so the density bias increases. The increase in the density bias in the hole pattern accompanying the miniaturization is a serious problem. In order to suppress the density bias, generally, a bias is applied to the dimension of the mask pattern. Since the density bias varies depending on the characteristics of the photoresist composition, that is, dissolution contrast and acid diffusion, the density bias of the mask varies depending on the type of the photoresist composition. Masks with different density biases are used for each type of photoresist composition, increasing the burden of mask production. Therefore, only the dense hole pattern is resolved with strong super-resolution illumination, a negative resist film of an alcohol solvent that does not dissolve the first positive resist pattern is applied on the pattern, and unnecessary hole portions are exposed. There has been proposed a method (Pack and unpack; PAU method) in which both a dense pattern and an isolated pattern are produced by blocking by development (Proc. SPIE Vol. 5753 p171 (2005)). Problems with this method include misalignment between the first exposure and the second exposure, and the author of the literature points out this point. Further, a hole pattern that is not blocked by the second development is developed twice, and a dimensional change due to this is also a problem.

ランダムピッチのホールパターンをポジネガ反転の有機溶剤現像で形成するためには、特開2011−170316号公報の段落[0102]に記載の格子状のパターンが全面に配列され、ホールを形成する場所だけに格子の幅を太くしたマスクを用いる。
同じく格子状のパターンを全面に配列し、ホールを形成する場所だけに太いドットを配置したマスクを用いることもできる。
格子状パターンが配列されていないマスクを用いた場合はホールの形成が困難であるか、もし形成できたとしても光学像のコントラストが低いために、マスク寸法のバラツキがホールの寸法のバラツキに大きく反映する結果となる。
In order to form a random pitch hole pattern by positive / negative reversal organic solvent development, the grid-like pattern described in paragraph [0102] of JP 2011-170316 A is arranged on the entire surface, and only at the place where the hole is formed. A mask with a thick grid is used.
Similarly, a mask in which grid-like patterns are arranged on the entire surface and thick dots are arranged only at the positions where holes are formed can be used.
If a mask with no grid pattern is used, it is difficult to form holes, or even if it can be formed, the optical image contrast is low, so the variation in mask dimensions is large compared to the variation in hole dimensions. The result is reflected.

以下、合成例、実施例及び比較例を示して本発明を具体的に説明するが、本発明は下記実施例等に制限されるものではない。なお、下記例において、分子量及び分散度はテトラヒドロフラン(THF)溶液のゲルパーミエーションクロマトグラフィーにより確認した。なお、分子量及び分散度はGPCによるポリスチレン換算重量平均分子量を示す。   EXAMPLES Hereinafter, although a synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not restrict | limited to the following Example etc. In the following examples, the molecular weight and dispersity were confirmed by gel permeation chromatography using a tetrahydrofuran (THF) solution. In addition, molecular weight and dispersity show the polystyrene-reduced weight average molecular weight by GPC.

[合成例1]
一般式(1)で示される繰り返し単位a1を得るためのモノマーを下記に示す方法で合成した。
[合成例1−1]モノマー1の合成

Figure 0005780221
[Synthesis Example 1]
A monomer for obtaining the repeating unit a1 represented by the general formula (1) was synthesized by the following method.
[Synthesis Example 1-1] Synthesis of Monomer 1
Figure 0005780221

[合成例1−1−1]アルコール1の合成
窒素雰囲気下、3,3,5−トリメチルシクロヘキサノン168gをテトラヒドロフラン100gに溶解し、40℃以下にてエチルマグネシウムクロリド/テトラヒドロフラン溶液(濃度437g/mol)682gに滴下した。室温にて2時間熟成後、通常の水系後処理を行い、減圧下溶剤を留去し粗アルコール1を222g得た。n−ヘキサンより再結晶を行い、アルコール1を173g得た(収率84%)。
IR(D−ATR):ν=3378、2978、2946、2917、2889、1454、1428、1403、1382、1296、1197、1008、961、947、906cm-1
1H−NMR(600MHz in DMSO−d6):δ=0.64−0.71(2H、m)、0.79(3H、t)、0.81(6H、t)、0.91(1H、d)、1.05(3H、s)、1.23−1.32(4H、m)、1.48(1H、m)、1.87(1H、m)、3.55(1H、s)ppm。
[Synthesis Example 1-1-1] Synthesis of alcohol 1 In a nitrogen atmosphere, 168 g of 3,3,5-trimethylcyclohexanone was dissolved in 100 g of tetrahydrofuran, and an ethylmagnesium chloride / tetrahydrofuran solution (concentration 437 g / mol) at 40 ° C. or lower. It was dripped at 682g. After aging for 2 hours at room temperature, normal aqueous post-treatment was performed, and the solvent was distilled off under reduced pressure to obtain 222 g of crude alcohol 1. Recrystallization from n-hexane gave 173 g of alcohol 1 (yield 84%).
IR (D-ATR): ν = 3378, 2978, 2946, 2917, 2889, 1454, 1428, 1403, 1382, 1296, 1197, 1008, 961, 947, 906 cm −1 .
1 H-NMR (600 MHz in DMSO-d 6 ): δ = 0.64-0.71 (2H, m), 0.79 (3H, t), 0.81 (6H, t), 0.91 ( 1H, d), 1.05 (3H, s), 1.23-1.32 (4H, m), 1.48 (1H, m), 1.87 (1H, m), 3.55 (1H , S) ppm.

[合成例1−1−2]モノマー1の合成
窒素雰囲気下、上記[合成例1−1−1]で得た150gのアルコール1、トリエチルアミン161g、4−(N,N−ジメチルアミノ)ピリジン11gをアセトニトリル200gに溶解し、50℃にてメタクリロイルクロリド138gを滴下した。50℃にて12時間熟成後、反応液を冷却し、氷冷下水200gを滴下して反応を停止した。通常の水系後処理の後、溶剤を留去した。得られた粗生物オイルを減圧蒸留により精製し、無色液体のモノマー1を183g得た(収率87%)。
沸点:59℃/20Pa。
1H−NMR(600MHz in DMSO−d6):δ=0.71−0.82(5H、m)、0.84−0.88(9H、m)、1.02(1H、d)、1.36(1H、d様)、1.68(1H、sept)、1.75(1H、m)、1.82(3H、s)、1.99(1H、sept)、2.17(1H、d様)、2.24(1H、dt)、5.58(1H、m)、5.93(1H、m)ppm。
IR(D−ATR):ν=2950、2837、1712、1637、1455、1400、1365、1334、1317、1297、1201、1168、1125、1008、934cm-1
[Synthesis Example 1-1-2] Synthesis of Monomer 1 Under a nitrogen atmosphere, 150 g of alcohol 1, 161 g of triethylamine, 11 g of 4- (N, N-dimethylamino) pyridine obtained in [Synthesis Example 1-1-1] above. Was dissolved in 200 g of acetonitrile, and 138 g of methacryloyl chloride was added dropwise at 50 ° C. After aging at 50 ° C. for 12 hours, the reaction solution was cooled, and 200 g of water was added dropwise under ice cooling to stop the reaction. After usual aqueous post-treatment, the solvent was distilled off. The crude oil obtained was purified by distillation under reduced pressure to obtain 183 g of colorless liquid monomer 1 (yield 87%).
Boiling point: 59 ° C./20 Pa.
1 H-NMR (600 MHz in DMSO-d 6 ): δ = 0.71-0.82 (5H, m), 0.84-0.88 (9H, m), 1.02 (1H, d), 1.36 (1H, d-like), 1.68 (1H, sept), 1.75 (1H, m), 1.82 (3H, s), 1.99 (1H, sept), 2.17 ( 1H, d-like), 2.24 (1H, dt), 5.58 (1H, m), 5.93 (1H, m) ppm.
IR (D-ATR): ν = 2950, 2837, 1712, 1637, 1455, 1400, 1365, 1334, 1317, 1297, 1201, 1168, 1125, 1008, 934 cm −1 .

[合成例1−2]モノマー2の合成

Figure 0005780221
[Synthesis Example 1-2] Synthesis of Monomer 2
Figure 0005780221

[合成例1−2−1]アルコール2の合成
3,3,5−トリメチルシクロヘキサノンの代わりに3,3,5,5−テトラメチルシクロヘキサノンを使用した以外は、[合成例1−1−1]と同様な方法でアルコール2を得た(収率86%)。
IR(D−ATR):ν=3608、3490、2949、2924、2894、1456、1385、1365、1348、1215、962、901cm-1
1H−NMR(600MHz in DMSO−d6):δ=0.81(3H、t)、0.82(6H、s)、0.92−1.02(4H、m)、1.16(1H、d)、1.05(3H、s)、1.23−1.32(4H、m)、1.48(6H、s)、1.28(2H、q)、1.39(2H、dd)、3.50(1H、s)ppm。
[Synthesis Example 1-2-1] Synthesis of Alcohol 2 [Synthesis Example 1-1-1] except that 3,3,5,5-tetramethylcyclohexanone was used instead of 3,3,5-trimethylcyclohexanone In the same manner, alcohol 2 was obtained (yield 86%).
IR (D-ATR): ν = 3608, 3490, 2949, 2924, 2894, 1456, 1385, 1365, 1348, 1215, 962, 901 cm −1 .
1 H-NMR (600 MHz in DMSO-d 6 ): δ = 0.81 (3H, t), 0.82 (6H, s), 0.92-1.02 (4H, m), 1.16 ( 1H, d), 1.05 (3H, s), 1.23-1.32 (4H, m), 1.48 (6H, s), 1.28 (2H, q), 1.39 (2H) , Dd), 3.50 (1H, s) ppm.

[合成例1−2−2]モノマー2の合成
アルコール1の代わりにアルコール2を使用した以外は、[合成例1−1−2]と同様な方法でモノマー2を得た(収率86%)。
沸点:61℃/20Pa。
1H−NMR(600MHz in DMSO−d6):δ=0.72(3H、t)、0.87(6H、s)、1.02(2H、d)、1.03(6H、s)、1.11(1H、d)、1.31(1H、dt)、1.82(3H、s)、1.84(2H、q)、2.23(2H、d)、5.59(1H、m)、5.92(1H、m)ppm。
IR(D−ATR):ν=2952、2895、1713、1456、1366、1316、1299、1165、1008、937、815cm-1
なお、他のモノマーも同様にして製造し得る。
[Synthesis Example 1-2-2] Synthesis of Monomer 2 Monomer 2 was obtained in the same manner as in [Synthesis Example 1-1-2] except that alcohol 2 was used instead of alcohol 1 (yield 86%). ).
Boiling point: 61 ° C./20 Pa.
1 H-NMR (600 MHz in DMSO-d 6 ): δ = 0.72 (3H, t), 0.87 (6H, s), 1.02 (2H, d), 1.03 (6H, s) 1.11 (1H, d), 1.31 (1H, dt), 1.82 (3H, s), 1.84 (2H, q), 2.23 (2H, d), 5.59 ( 1H, m), 5.92 (1H, m) ppm.
IR (D-ATR): ν = 2952, 2895, 1713, 1456, 1366, 1316, 1299, 1165, 1008, 937, 815 cm −1 .
Other monomers can be produced in the same manner.

[合成例2−1]高分子化合物の合成
レジスト組成物に用いる高分子化合物として、各々のモノマーを組み合わせてメチルエチルケトン溶剤下で共重合反応を行い、メタノールに晶出し、更にヘキサンで洗浄を繰り返した後に単離、乾燥して、以下に示す組成の高分子化合物(レジストポリマー1〜12、ブレンドレジストポリマー1,2、比較レジストポリマー1〜8)を得た。得られた高分子化合物の組成は1H−NMR、分子量及び分散度はゲルパーミエーションクロマトグラフィーにより確認した。
[Synthesis Example 2-1] Synthesis of polymer compound As the polymer compound used in the resist composition, the respective monomers were combined and subjected to a copolymerization reaction in a methyl ethyl ketone solvent, crystallized in methanol, and further washed with hexane. Later, it was isolated and dried to obtain polymer compounds (resist polymers 1 to 12, blend resist polymers 1 and 2, comparative resist polymers 1 to 8) having the following compositions. The composition of the obtained polymer compound was confirmed by 1 H-NMR, and the molecular weight and dispersity were confirmed by gel permeation chromatography.

レジストポリマー1
分子量(Mw)=7,100
分散度(Mw/Mn)=1.82

Figure 0005780221
Resist polymer 1
Molecular weight (Mw) = 7,100
Dispersity (Mw / Mn) = 1.82
Figure 0005780221

レジストポリマー2
分子量(Mw)=8,500
分散度(Mw/Mn)=1.63

Figure 0005780221
Resist polymer 2
Molecular weight (Mw) = 8,500
Dispersity (Mw / Mn) = 1.63
Figure 0005780221

レジストポリマー3
分子量(Mw)=8,000
分散度(Mw/Mn)=1.85

Figure 0005780221
Resist polymer 3
Molecular weight (Mw) = 8,000
Dispersity (Mw / Mn) = 1.85
Figure 0005780221

レジストポリマー4
分子量(Mw)=8,400
分散度(Mw/Mn)=1.89

Figure 0005780221
Resist polymer 4
Molecular weight (Mw) = 8,400
Dispersity (Mw / Mn) = 1.89
Figure 0005780221

レジストポリマー5
分子量(Mw)=5,100
分散度(Mw/Mn)=1.85

Figure 0005780221
Resist polymer 5
Molecular weight (Mw) = 5,100
Dispersity (Mw / Mn) = 1.85
Figure 0005780221

レジストポリマー6
分子量(Mw)=7,600
分散度(Mw/Mn)=1.76

Figure 0005780221
Resist polymer 6
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.76
Figure 0005780221

レジストポリマー7
分子量(Mw)=8,000
分散度(Mw/Mn)=1.82

Figure 0005780221
Resist polymer 7
Molecular weight (Mw) = 8,000
Dispersity (Mw / Mn) = 1.82
Figure 0005780221

レジストポリマー8
分子量(Mw)=7,800
分散度(Mw/Mn)=1.58

Figure 0005780221
Resist polymer 8
Molecular weight (Mw) = 7,800
Dispersity (Mw / Mn) = 1.58
Figure 0005780221

レジストポリマー9
分子量(Mw)=8,400
分散度(Mw/Mn)=1.76

Figure 0005780221
Resist polymer 9
Molecular weight (Mw) = 8,400
Dispersity (Mw / Mn) = 1.76
Figure 0005780221

レジストポリマー10
分子量(Mw)=7,900
分散度(Mw/Mn)=1.78

Figure 0005780221
Resist polymer 10
Molecular weight (Mw) = 7,900
Dispersity (Mw / Mn) = 1.78
Figure 0005780221

レジストポリマー11
分子量(Mw)=8,100
分散度(Mw/Mn)=1.75

Figure 0005780221
Resist polymer 11
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.75
Figure 0005780221

レジストポリマー12
分子量(Mw)=7,900
分散度(Mw/Mn)=1.75

Figure 0005780221
Resist polymer 12
Molecular weight (Mw) = 7,900
Dispersity (Mw / Mn) = 1.75
Figure 0005780221

ブレンドレジストポリマー1
分子量(Mw)=6,700
分散度(Mw/Mn)=1.59

Figure 0005780221
Blend resist polymer 1
Molecular weight (Mw) = 6,700
Dispersity (Mw / Mn) = 1.59
Figure 0005780221

ブレンドレジストポリマー2
分子量(Mw)=21,700
分散度(Mw/Mn)=1.88

Figure 0005780221
Blend resist polymer 2
Molecular weight (Mw) = 21,700
Dispersity (Mw / Mn) = 1.88
Figure 0005780221

比較レジストポリマー1
分子量(Mw)=7,900
分散度(Mw/Mn)=1.89

Figure 0005780221
Comparative resist polymer 1
Molecular weight (Mw) = 7,900
Dispersity (Mw / Mn) = 1.89
Figure 0005780221

比較レジストポリマー2
分子量(Mw)=8,100
分散度(Mw/Mn)=1.79

Figure 0005780221
Comparative resist polymer 2
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.79
Figure 0005780221

比較レジストポリマー3
分子量(Mw)=8,100
分散度(Mw/Mn)=1.81

Figure 0005780221
Comparative resist polymer 3
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.81
Figure 0005780221

比較レジストポリマー4
分子量(Mw)=8,200
分散度(Mw/Mn)=1.83

Figure 0005780221
Comparative resist polymer 4
Molecular weight (Mw) = 8,200
Dispersity (Mw / Mn) = 1.83
Figure 0005780221

比較レジストポリマー5
分子量(Mw)=8,000
分散度(Mw/Mn)=1.83

Figure 0005780221
Comparative resist polymer 5
Molecular weight (Mw) = 8,000
Dispersity (Mw / Mn) = 1.83
Figure 0005780221

比較レジストポリマー6
分子量(Mw)=8,600
分散度(Mw/Mn)=1.84

Figure 0005780221
Comparative resist polymer 6
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.84
Figure 0005780221

比較レジストポリマー7
分子量(Mw)=9,300
分散度(Mw/Mn)=1.93

Figure 0005780221
Comparative resist polymer 7
Molecular weight (Mw) = 9,300
Dispersity (Mw / Mn) = 1.93
Figure 0005780221

比較レジストポリマー8
分子量(Mw)=9,300
分散度(Mw/Mn)=1.93

Figure 0005780221
Comparative resist polymer 8
Molecular weight (Mw) = 9,300
Dispersity (Mw / Mn) = 1.93
Figure 0005780221

レジスト組成物の調製
高分子化合物(レジストポリマー、ブレンドレジストポリマー、比較レジストポリマー)を用いて、下記表1に示す組成で溶解させた溶液を0.2μmのテフロン(登録商標)フィルターで濾過した溶液を調製した。
下記表中の各組成は次の通りである。
Preparation of resist composition A solution prepared by using a polymer compound (resist polymer, blend resist polymer, comparative resist polymer) and having a solution dissolved in the composition shown in Table 1 below, filtered through a 0.2 µm Teflon (registered trademark) filter. Was prepared.
Each composition in the following table is as follows.

酸発生剤:PAG1〜6(下記構造式参照)

Figure 0005780221
Acid generator: PAG 1-6 (see the following structural formula)
Figure 0005780221

撥水性ポリマー1
分子量(Mw)=7,700
分散度(Mw/Mn)=1.82

Figure 0005780221
Water repellent polymer 1
Molecular weight (Mw) = 7,700
Dispersity (Mw / Mn) = 1.82
Figure 0005780221

Quencher1〜7(下記構造式参照)

Figure 0005780221
Quenchers 1-7 (see the structural formula below)
Figure 0005780221

有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン)
Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)

[実施例及び比較例]
ArF露光パターニング評価(1)
表1に示す組成で調製したレジスト組成物を、シリコンウエハーに信越化学工業(株)製スピンオンカーボン膜ODL−50(カーボンの含有量が80質量%)を200nm、その上に珪素含有スピンオンハードマスクSHB−A940(珪素の含有量が43質量%)を35nmの膜厚で成膜したトライレイヤープロセス用の基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを100nmにした。
これをArFエキシマレーザー液浸スキャナー((株)ニコン製、NSR−610C、NA1.30、σ0.98/0.78、クロスポール開口20度、Azimuthally偏光照明、6%ハーフトーン位相シフトマスク、ウエハー上寸法がピッチ90nm、ライン幅30nmの格子状マスク)を用いて露光量を変化させながら露光を行い、露光後表2に示す温度で60秒間ベーク(PEB)し、現像ノズルから酢酸ブチルを3秒間30rpmで回転させながら吐出させ、その後静止パドル現像を27秒間行い、ジイソアミルエーテルでリンス後スピンドライし、100℃で20秒間ベークしてリンス溶剤を蒸発させ、ネガ型のパターンを得た。
溶剤現像のイメージ反転されたホールパターン50箇所の寸法を(株)日立ハイテクノロジーズ製TDSEM(CG−4000)で測定し、3σの寸法バラツキを求めた。ホールパターンの断面形状を(株)日立ハイテクノロジーズ製電子顕微鏡S−4300で観察した。結果を表2に示す。
本発明のレジストは、有機溶剤現像後のパターンの寸法均一性に優れ、垂直なパターンを得ることができる。
[Examples and Comparative Examples]
ArF exposure patterning evaluation (1)
A resist composition prepared with the composition shown in Table 1 was applied to a silicon wafer with a spin-on carbon film ODL-50 (carbon content of 80% by mass) manufactured by Shin-Etsu Chemical Co., Ltd. having a thickness of 200 nm and a silicon-containing spin-on hard mask. SHB-A940 (silicon content is 43% by mass) was spin-coated on a substrate for a trilayer process having a film thickness of 35 nm, and baked at 100 ° C. for 60 seconds using a hot plate. The thickness was 100 nm.
This is an ArF excimer laser immersion scanner (manufactured by Nikon Corporation, NSR-610C, NA 1.30, σ0.98 / 0.78, cross pole opening 20 degrees, azimuthally polarized illumination, 6% halftone phase shift mask, wafer The exposure is carried out while changing the exposure amount using a lattice mask having a pitch of 90 nm and a line width of 30 nm. After exposure, baking is performed for 60 seconds (PEB) at the temperatures shown in Table 2, and butyl acetate is added from the developing nozzle. The film was ejected while rotating at 30 rpm for 2 seconds, followed by static paddle development for 27 seconds, rinsed with diisoamyl ether, spin-dried, baked at 100 ° C. for 20 seconds to evaporate the rinse solvent, and a negative pattern was obtained.
The dimensions of 50 hole patterns obtained by reversing the image of the solvent development were measured with a TDSEM (CG-4000) manufactured by Hitachi High-Technologies Corporation to determine the dimensional variation of 3σ. The cross-sectional shape of the hole pattern was observed with an electron microscope S-4300 manufactured by Hitachi High-Technologies Corporation. The results are shown in Table 2.
The resist of the present invention is excellent in dimensional uniformity of a pattern after development with an organic solvent, and a vertical pattern can be obtained.

Figure 0005780221
Figure 0005780221

Figure 0005780221
Figure 0005780221

ArF露光パターニング評価(2)
表3に示すレジスト組成物を、シリコンウエハーに信越化学工業(株)製スピンオンカーボン膜ODL−50(カーボンの含有量が80質量%)を200nm、その上に珪素含有スピンオンハードマスクSHB−A940(珪素の含有量が43質量%)を35nmの膜厚で成膜したトライレイヤープロセス用の基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを80nmにした。
これをArFエキシマレーザー液浸スキャナー((株)ニコン製、NSR−610C、NA1.30、σ0.98/0.78、クロスポール開口20度、Azimuthally偏光照明、6%ハーフトーン位相シフトマスク、ウエハー上寸法がピッチ100nm幅50nmのラインアンドスペースパターン)により露光量を変化させながら露光を行い、露光後表3に示す温度で60秒間ベーク(PEB)し、現像ノズルから表3に示す現像液を3秒間30rpmで回転させながら吐出させ、その後静止パドル現像を27秒間行い、4−メチル−2−ペンタノールでリンス後スピンドライし、100℃で20秒間ベークしてリンス溶剤を蒸発させ、ネガ型のパターンを得た。
溶剤現像のイメージ反転されたホールパターンの寸法を(株)日立ハイテクノロジーズ製TDSEM(CG−4000)で測定し、50nm±5nmになっているスペース部分のエッジラフネス(LWR)と、露光量を上げていった時にブリッジ無しで開口している最小スペース寸法を求めた。結果を表3に示す。
ArF exposure patterning evaluation (2)
The resist composition shown in Table 3 is obtained by applying a spin-on carbon film ODL-50 (carbon content of 80% by mass) manufactured by Shin-Etsu Chemical Co., Ltd. to 200 nm on a silicon wafer, and a silicon-containing spin-on hard mask SHB-A940 ( A silicon layer having a silicon content of 43% by mass is spin-coated on a substrate for a trilayer process having a film thickness of 35 nm, and baked at 100 ° C. for 60 seconds using a hot plate, so that the resist film has a thickness of 80 nm. did.
This is an ArF excimer laser immersion scanner (manufactured by Nikon Corporation, NSR-610C, NA 1.30, σ 0.98 / 0.78, cross pole opening 20 degrees, azimuthally polarized illumination, 6% halftone phase shift mask, wafer The exposure is carried out while changing the exposure amount by a line-and-space pattern with an upper dimension of 100 nm pitch and 50 nm width), and after the exposure, baking is performed for 60 seconds at the temperature shown in Table 3 and the developer shown in Table 3 is supplied from the developing nozzle. Discharge while rotating at 30 rpm for 3 seconds, then perform static paddle development for 27 seconds, rinse with 4-methyl-2-pentanol, spin dry, bake at 100 ° C. for 20 seconds to evaporate rinse solvent, negative type Got the pattern.
Measure the hole pattern dimensions of the reversed image of solvent development with TDSEM (CG-4000) manufactured by Hitachi High-Technologies Corporation, and increase the edge roughness (LWR) of the space portion that is 50 nm ± 5 nm and the exposure amount. The minimum space dimension that opened without a bridge was determined. The results are shown in Table 3.

Figure 0005780221
Figure 0005780221

EB露光パターニング評価
表4に示すレジスト組成物を、直径6インチφのヘキサメチルジシラザン(HMDS)ベーパープライム処理したSi基板上に、クリーントラックMark 5(東京エレクトロン(株)製)を用いてスピンコートし、ホットプレート上で110℃で60秒間プリベークして100nmのレジスト膜を作製した。これに、(株)日立製作所製HL−800Dを用いてHV電圧50kVで真空チャンバー内描画を行った。
描画後、直ちにクリーントラックMark 5(東京エレクトロン(株)製)を用いてホットプレート上で表4に示す温度で60秒間ポストエクスポージャベーク(PEB)を行い、表4に示す現像液で30秒間パドル現像を行い、ジイソアミルエーテルでリンス後スピンドライし、100℃で20秒間ベークしてリンス溶剤を蒸発させ、ネガ型のパターンを得た。
得られたレジストパターンを次のように評価した。
100nmのラインアンドスペースを1:1で解像する露光量における、最小の寸法を解像力とし、100nmLSのエッジラフネス(LWR)をSEMで測定した。結果を表4に示す。
EB exposure patterning evaluation Table 4 Spin resist film composition on Si substrate 6 mm diameter hexamethyldisilazane (HMDS) vapor primed using clean track Mark 5 (manufactured by Tokyo Electron Ltd.). The resulting film was coated and pre-baked on a hot plate at 110 ° C. for 60 seconds to prepare a 100 nm resist film. To this, drawing in a vacuum chamber was performed at an HV voltage of 50 kV using HL-800D manufactured by Hitachi, Ltd.
Immediately after the drawing, post-exposure baking (PEB) was performed for 60 seconds on a hot plate at a temperature shown in Table 4 using a clean track Mark 5 (manufactured by Tokyo Electron Co., Ltd.), and for 30 seconds with a developer shown in Table 4. Paddle development was performed, rinsing with diisoamyl ether and spin drying, followed by baking at 100 ° C. for 20 seconds to evaporate the rinsing solvent to obtain a negative pattern.
The obtained resist pattern was evaluated as follows.
The minimum dimension at the exposure amount for resolving 100 nm line and space at 1: 1 was taken as the resolving power, and the edge roughness (LWR) of 100 nm LS was measured by SEM. The results are shown in Table 4.

Figure 0005780221
Figure 0005780221

なお、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

10 基板
20 被加工基板
30 中間介在層
40 レジスト膜
DESCRIPTION OF SYMBOLS 10 Substrate 20 Substrate 30 Intervening layer 40 Resist film

Claims (7)

下記一般式(1)で示される繰り返し単位a1を含有する高分子化合物と、必要によって酸発生剤とを含むレジスト組成物を基板上に塗布し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に有機溶剤による現像液を用いて未露光部を溶解させ、露光部が溶解しないネガ型パターンを得ることを特徴とするパターン形成方法。
Figure 0005780221
(式中、R1は水素原子又はメチル基を示す。R2は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基を示す。R3はメチル基又はエチル基を示す。X1はエーテル基、エステル基、ラクトン環又はヒドロキシ基を有していてもよい炭素数1〜10のアルキレン基、又は炭素数6〜10のアリーレン基を示す。X2はメチレン基又はエチレン基を示す。k1は0又は1を示す。k2は2〜6の整数を示し、0<a1<1.0である。)
A resist composition containing a polymer compound containing the repeating unit a1 represented by the following general formula (1) and, if necessary, an acid generator is applied onto a substrate, and the resist film is exposed to high energy rays after heat treatment. Then, after the heat treatment, an unexposed portion is dissolved by using a developer with an organic solvent, and a negative pattern in which the exposed portion is not dissolved is obtained.
Figure 0005780221
(In the formula, R 1 represents a hydrogen atom or a methyl group. R 2 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 3 represents a methyl group or an ethyl group. X 1 represents an ether group, an ester group, a lactone ring, or an alkylene group having 1 to 10 carbon atoms, or an arylene group having 6 to 10 carbon atoms, and X 2 represents a methylene group or an ethylene group. K 1 represents 0 or 1. k 2 represents an integer of 2 to 6, and 0 <a1 <1.0.
高分子化合物が、更に下記一般式(2)で示される繰り返し単位a2及び下記一般式(3)で示される繰り返し単位b1〜b4から選ばれるいずれかの繰り返し単位を含有することを特徴とする請求項1に記載のパターン形成方法。
Figure 0005780221
(式中、R10は水素原子又はメチル基、R11は一般式(1)の酸不安定基とは異なる酸不安定基、X3は単結合、フェニレン基、ナフチレン基、又は−C(=O)−O−R12−であり、R12は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基、エステル基、ラクトン環、ヒドロキシ基のいずれかを有していてもよく、あるいはフェニレン基又はナフチレン基であり、0≦a2<1.0である。)
Figure 0005780221
(式中、R13、R16はそれぞれ独立に水素原子又はメチル基を示す。R14は炭素数1〜16の直鎖状、分岐状又は環状の2〜5価の脂肪族炭化水素基であり、エーテル基又はエステル基を有していてもよい。R15、R17は酸不安定基である。R18〜R21、R22〜R25はそれぞれ独立に水素原子、シアノ基、炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、アルコキシカルボニル基、又はエーテル基もしくはラクトン環を有する基であるが、R18〜R21及びR22〜R25の内少なくとも一つは酸不安定基で置換されたヒドロキシ基を有する。mは1〜4の整数、nは0又は1である。b1、b2、b3、b4は、0≦b1<1.0、0≦b2<1.0、0≦b3<1.0、0≦b4<1.0、0≦b1+b2+b3+b4<1.0である。但し、0<a2+b1+b2+b3+b4<1.0である。)
The polymer compound further contains any one of repeating units selected from the repeating unit a2 represented by the following general formula (2) and the repeating units b1 to b4 represented by the following general formula (3). Item 4. The pattern forming method according to Item 1.
Figure 0005780221
(Wherein R 10 is a hydrogen atom or a methyl group, R 11 is an acid labile group different from the acid labile group of general formula (1), X 3 is a single bond, a phenylene group, a naphthylene group, or —C ( ═O) —O—R 12 —, wherein R 12 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and includes any of an ether group, an ester group, a lactone ring, and a hydroxy group. Or may be a phenylene group or a naphthylene group, and 0 ≦ a2 <1.0.)
Figure 0005780221
(In the formula, R 13 and R 16 each independently represent a hydrogen atom or a methyl group. R 14 is a linear, branched or cyclic divalent to pentavalent aliphatic hydrocarbon group having 1 to 16 carbon atoms. Yes, and may have an ether group or an ester group, R 15 and R 17 are acid labile groups, R 18 to R 21 and R 22 to R 25 are each independently a hydrogen atom, a cyano group, carbon A linear, branched or cyclic alkyl group, an alkoxycarbonyl group, or an ether group or a group having a lactone ring, and at least one of R 18 to R 21 and R 22 to R 25 Has a hydroxy group substituted with an acid labile group, m is an integer from 1 to 4, and n is 0 or 1. b1, b2, b3, b4 are 0 ≦ b1 <1.0, 0 ≦ b2. <1.0, 0 ≦ b3 <1.0, 0 ≦ b4 <1.0, 0 ≦ b1 + b2 + b3 + b4 <1.0 (However, 0 <a2 + b1 + b2 + b3 + b4 <1.0.)
高分子化合物が、更にヒドロキシ基、シアノ基、カルボニル基、エステル基、エーテル基、ラクトン環、カルボキシル基、カルボン酸無水物基、スルホン酸エステル基、ジスルホン基、カーボネート基から選ばれる密着性基を有するモノマーに由来する繰り返し単位を含有することを特徴とする請求項1又は2に記載のパターン形成方法。   The polymer compound further has an adhesive group selected from a hydroxy group, a cyano group, a carbonyl group, an ester group, an ether group, a lactone ring, a carboxyl group, a carboxylic acid anhydride group, a sulfonic acid ester group, a disulfone group, and a carbonate group. The pattern forming method according to claim 1, comprising a repeating unit derived from a monomer having the same. 高分子化合物が、更に下記一般式で示される繰り返し単位(d1)、(d2)、(d3)のいずれかを含有することを特徴とする請求項1乃至3のいずれか1項に記載のパターン形成方法。
Figure 0005780221
(式中、R020、R024、R028は水素原子又はメチル基、R021は単結合、フェニレン基、−O−R033−、又は−C(=O)−Y−R033−である。Yは酸素原子又はNH、R033は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基(−CO−)、エステル基(−COO−)、エーテル基(−O−)又はヒドロキシ基を含んでいてもよい。R022、R023、R025、R026、R027、R029、R030、R031は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Z1は単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R032−、又は−C(=O)−Z2−R032−である。Z2は酸素原子又はNH、R032は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。M-は非求核性対向イオンを表す。0≦d1≦0.3、0≦d2≦0.3、0≦d3≦0.3、0<d1+d2+d3≦0.3である。)
The pattern according to any one of claims 1 to 3, wherein the polymer compound further contains any one of the repeating units (d1), (d2), and (d3) represented by the following general formula. Forming method.
Figure 0005780221
Wherein R 020 , R 024 and R 028 are a hydrogen atom or a methyl group, R 021 is a single bond, a phenylene group, —O—R 033 —, or —C (═O) —Y—R 033 —. Y is an oxygen atom or NH, and R 033 is a linear, branched or cyclic alkylene group, alkenylene group or phenylene group having 1 to 6 carbon atoms, a carbonyl group (—CO—), an ester group (—COO) -), An ether group (-O-) or a hydroxy group, R 022 , R 023 , R 025 , R 026 , R 027 , R 029 , R 030 and R 031 are the same or different carbon numbers. 1 to 12 linear, branched or cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms or an aralkyl having 7 to 20 carbon atoms Z 1 represents a single bond, a methylene group or ethylene. Group, a phenylene group, a fluorinated phenylene group, —O—R 032 —, or —C (═O) —Z 2 —R 032 —, wherein Z 2 is an oxygen atom or NH, and R 032 has 1 carbon atom. A linear, branched or cyclic alkylene group, alkenylene group or phenylene group of ˜6, which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, and M is a non-nucleophilic counter ion. 0 ≦ d1 ≦ 0.3, 0 ≦ d2 ≦ 0.3, 0 ≦ d3 ≦ 0.3, and 0 <d1 + d2 + d3 ≦ 0.3.
現像液が、2−オクタノン、2−ノナノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2−ヘキサノン、3−ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノン、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸アミル、酢酸ブテニル、酢酸イソアミル、蟻酸プロピル、蟻酸ブチル、蟻酸イソブチル、蟻酸アミル、蟻酸イソアミル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、プロピオン酸メチル、プロピオン酸エチル、3−エトキシプロピオン酸エチル、乳酸メチル、乳酸エチル、乳酸プロピル、乳酸ブチル、乳酸イソブチル、乳酸アミル、乳酸イソアミル、2−ヒドロキシイソ酪酸メチル、2−ヒドロキシイソ酪酸エチル、安息香酸メチル、安息香酸エチル、酢酸フェニル、酢酸ベンジル、フェニル酢酸メチル、蟻酸ベンジル、蟻酸フェニルエチル、3−フェニルプロピオン酸メチル、プロピオン酸ベンジル、フェニル酢酸エチル、酢酸2−フェニルエチルから選ばれる1種以上であることを特徴とする請求項1乃至4のいずれか1項に記載のパターン形成方法。   Developer is 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutylketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, acetic acid Isobutyl, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonic acid, ethyl crotonic acid, methyl propionate, ethyl propionate, Ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, One or more selected from ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, 2-phenylethyl acetate The pattern forming method according to claim 1, wherein: 高エネルギー線による露光が、波長248nmのKrFエキシマレーザー、波長193nmのArFエキシマレーザー、波長13.5nmのEUVリソグラフィー、又は電子ビームであることを特徴とする請求項1乃至5のいずれか1項に記載のパターン形成方法。   6. The exposure according to claim 1, wherein the exposure with the high energy beam is a KrF excimer laser with a wavelength of 248 nm, an ArF excimer laser with a wavelength of 193 nm, EUV lithography with a wavelength of 13.5 nm, or an electron beam. The pattern formation method as described. 前記一般式(1)で示される繰り返し単位を含有する高分子化合物と、必要によって酸発生剤と、有機溶剤とを含むレジスト組成物を基板上に塗布し、加熱処理後に保護膜を形成し、高エネルギー線で上記レジスト膜を露光し、加熱処理後に有機溶剤による現像液を用いて保護膜と未露光部を溶解させ、露光部が溶解しないネガ型パターンを得ることを特徴とする請求項1乃至6のいずれか1項に記載のパターン形成方法。   A resist composition containing a polymer compound containing the repeating unit represented by the general formula (1) and, if necessary, an acid generator and an organic solvent is applied on a substrate, and a protective film is formed after the heat treatment, 2. The resist film is exposed with a high energy beam, and after the heat treatment, the protective film and the unexposed portion are dissolved using a developer with an organic solvent to obtain a negative pattern in which the exposed portion does not dissolve. 7. The pattern forming method according to any one of items 1 to 6.
JP2012181286A 2012-08-20 2012-08-20 Pattern formation method Active JP5780221B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2012181286A JP5780221B2 (en) 2012-08-20 2012-08-20 Pattern formation method
KR1020130095193A KR101687056B1 (en) 2012-08-20 2013-08-12 Patterning process and resist composition
US13/968,583 US9017931B2 (en) 2012-08-20 2013-08-16 Patterning process and resist composition
TW102129701A TWI471698B (en) 2012-08-20 2013-08-19 Patterning process and resist composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012181286A JP5780221B2 (en) 2012-08-20 2012-08-20 Pattern formation method

Publications (2)

Publication Number Publication Date
JP2014038257A JP2014038257A (en) 2014-02-27
JP5780221B2 true JP5780221B2 (en) 2015-09-16

Family

ID=50100275

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012181286A Active JP5780221B2 (en) 2012-08-20 2012-08-20 Pattern formation method

Country Status (4)

Country Link
US (1) US9017931B2 (en)
JP (1) JP5780221B2 (en)
KR (1) KR101687056B1 (en)
TW (1) TWI471698B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6267532B2 (en) 2014-02-14 2018-01-24 信越化学工業株式会社 Resist protective film material and pattern forming method
KR101855230B1 (en) 2014-09-03 2018-05-09 후지필름 가부시키가이샤 Active light sensitive or radiation sensitive resin composition, pattern forming method and method for manufacturing electronic device
JP7300823B2 (en) * 2018-12-03 2023-06-30 東京応化工業株式会社 Resist composition and resist pattern forming method

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3991462B2 (en) 1997-08-18 2007-10-17 Jsr株式会社 Radiation sensitive resin composition
KR100551653B1 (en) 1997-08-18 2006-05-25 제이에스알 가부시끼가이샤 Radiation Sensitive Resin Composition
JPH11222460A (en) * 1997-12-02 1999-08-17 Mitsubishi Electric Corp Transparent compound, transparent resin, photosensitive resin composition using the transparent resin and production of semiconductor apparatus using the photosensitive resin composition
JP3790649B2 (en) 1999-12-10 2006-06-28 信越化学工業株式会社 Resist material
JP4067284B2 (en) 2001-03-12 2008-03-26 富士フイルム株式会社 Positive resist composition
US6777160B2 (en) 2001-03-12 2004-08-17 Fuji Photo Film Co., Ltd. Positive-working resist composition
JP4662062B2 (en) 2005-06-15 2011-03-30 信越化学工業株式会社 Resist protective film material and pattern forming method
US8323872B2 (en) 2005-06-15 2012-12-04 Shin-Etsu Chemical Co., Ltd. Resist protective coating material and patterning process
JP2007193013A (en) * 2006-01-18 2007-08-02 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP4842844B2 (en) 2006-04-04 2011-12-21 信越化学工業株式会社 Resist material and pattern forming method using the same
US7771913B2 (en) 2006-04-04 2010-08-10 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process using the same
JP4861237B2 (en) 2006-05-26 2012-01-25 信越化学工業株式会社 Resist protective film material and pattern forming method
US7759047B2 (en) 2006-05-26 2010-07-20 Shin-Etsu Chemical Co., Ltd. Resist protective film composition and patterning process
JP4763511B2 (en) 2006-05-26 2011-08-31 信越化学工業株式会社 Resist protective film material and pattern forming method
JP4858714B2 (en) 2006-10-04 2012-01-18 信越化学工業株式会社 Polymer compound, resist material, and pattern forming method
KR101116963B1 (en) 2006-10-04 2012-03-14 신에쓰 가가꾸 고교 가부시끼가이샤 Polymer, Resist Composition, and Patterning Process
US7771914B2 (en) 2006-10-17 2010-08-10 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JP4849267B2 (en) 2006-10-17 2012-01-11 信越化学工業株式会社 Resist material and pattern forming method using the same
JP4355725B2 (en) 2006-12-25 2009-11-04 信越化学工業株式会社 Positive resist material and pattern forming method
JP4554665B2 (en) 2006-12-25 2010-09-29 富士フイルム株式会社 PATTERN FORMATION METHOD, POSITIVE RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED FOR THE PATTERN FORMATION METHOD, NEGATIVE DEVELOPMENT SOLUTION USED FOR THE PATTERN FORMATION METHOD, AND NEGATIVE DEVELOPMENT RINSE SOLUTION USED FOR THE PATTERN FORMATION METHOD
JP5002379B2 (en) 2007-04-13 2012-08-15 富士フイルム株式会社 Pattern formation method
EP2138898B1 (en) * 2007-04-13 2014-05-21 FUJIFILM Corporation Method for pattern formation, and use of resist composition in said method
JP4982288B2 (en) 2007-04-13 2012-07-25 富士フイルム株式会社 Pattern formation method
US8034547B2 (en) 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
JP5011018B2 (en) 2007-04-13 2012-08-29 富士フイルム株式会社 Pattern formation method
JP4617337B2 (en) 2007-06-12 2011-01-26 富士フイルム株式会社 Pattern formation method
JP4590431B2 (en) 2007-06-12 2010-12-01 富士フイルム株式会社 Pattern formation method
JP5037402B2 (en) * 2008-03-28 2012-09-26 富士フイルム株式会社 Positive photosensitive resin composition and pattern forming method using the same
TW201030469A (en) * 2008-12-25 2010-08-16 Jsr Corp Negative-tone radiation-sensitive composition, cured pattern forming method, and cured pattern
JP5439030B2 (en) * 2009-05-18 2014-03-12 信越化学工業株式会社 Inspection method and preparation method of negative resist composition
JP5472072B2 (en) * 2010-01-13 2014-04-16 信越化学工業株式会社 Negative resist composition and pattern forming method
JP5440468B2 (en) 2010-01-20 2014-03-12 信越化学工業株式会社 Pattern formation method
JP5598350B2 (en) * 2010-02-16 2014-10-01 信越化学工業株式会社 Chemically amplified negative resist composition for electron beam or EUV and pattern forming method
JP5282781B2 (en) 2010-12-14 2013-09-04 信越化学工業株式会社 Resist material and pattern forming method
TWI506370B (en) * 2011-01-14 2015-11-01 Shinetsu Chemical Co Patterning process and resist composition
US9563124B2 (en) * 2012-07-27 2017-02-07 Sumitomo Chemical Company, Limited Photoresist composition and method for producing photoresist pattern

Also Published As

Publication number Publication date
US20140051026A1 (en) 2014-02-20
KR20140024220A (en) 2014-02-28
KR101687056B1 (en) 2016-12-15
JP2014038257A (en) 2014-02-27
TWI471698B (en) 2015-02-01
US9017931B2 (en) 2015-04-28
TW201415166A (en) 2014-04-16

Similar Documents

Publication Publication Date Title
JP5772717B2 (en) Pattern formation method
JP5440468B2 (en) Pattern formation method
JP5786722B2 (en) Pattern formation method
JP5807510B2 (en) Pattern forming method and resist composition
JP5835148B2 (en) Pattern forming method and resist composition
JP5910361B2 (en) Pattern forming method and resist composition
JP5708082B2 (en) Pattern forming method and negative resist composition
JP5842741B2 (en) Pattern forming method and resist composition
JP5828325B2 (en) Pattern formation method
JP5533821B2 (en) Pattern forming method and resist composition
JP6115322B2 (en) Pattern formation method
JP5780222B2 (en) Pattern formation method
JP5772216B2 (en) Pattern formation method
JP5846061B2 (en) Pattern formation method
JP5807552B2 (en) Pattern forming method and resist composition
JP5737242B2 (en) Monomer, polymer compound, resist composition and pattern forming method
JP2012032806A (en) Pattern forming method
JP2012032807A (en) Pattern forming method
JP5817650B2 (en) Pattern forming method and resist composition
JP5772727B2 (en) Resist composition and pattern forming method
JP6210052B2 (en) Resist composition and pattern forming method
JP6237551B2 (en) Resist composition and pattern forming method
JP6323295B2 (en) Pattern forming method and chemically amplified negative resist composition
JP5780221B2 (en) Pattern formation method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150616

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150629

R150 Certificate of patent or registration of utility model

Ref document number: 5780221

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150