DE69926356T2 - Das verfahren zur erzeugung einer physikalisch und chemisch aktiven umgebung durch einen plasmastrahl und plasmastrahl dazu - Google Patents

Das verfahren zur erzeugung einer physikalisch und chemisch aktiven umgebung durch einen plasmastrahl und plasmastrahl dazu Download PDF

Info

Publication number
DE69926356T2
DE69926356T2 DE69926356T DE69926356T DE69926356T2 DE 69926356 T2 DE69926356 T2 DE 69926356T2 DE 69926356 T DE69926356 T DE 69926356T DE 69926356 T DE69926356 T DE 69926356T DE 69926356 T2 DE69926356 T2 DE 69926356T2
Authority
DE
Germany
Prior art keywords
electromagnetic energy
hollow cathode
plasma jet
plasma
working medium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69926356T
Other languages
English (en)
Other versions
DE69926356D1 (de
Inventor
Milos Klima
Jan Janca
Vratislav Kapicka
Pavel Slavicek
Petr Saul
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Masarykova Univerzita
Original Assignee
Masarykova Univerzita
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Masarykova Univerzita filed Critical Masarykova Univerzita
Publication of DE69926356D1 publication Critical patent/DE69926356D1/de
Application granted granted Critical
Publication of DE69926356T2 publication Critical patent/DE69926356T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Polymerisation Methods In General (AREA)

Description

  • Die Erfindung betrifft ein Verfahren zur Herstellung einer physikalisch und chemisch aktiven Umgebung in Form eines Plasmastrahls, der die Umsetzung und die orientierte Übertragung von elektromagnetischer Energie in deren verschiedenen Formen mittels eines Plasmas des Plasmastrahls zu einem behandelten Objekt erlaubt.
  • Um eine physikalisch und chemisch aktive Umgebung herzustellen, die für die Behandlung von Objekten oder chemischen Verbindungen verwendet wird, wird gegenwärtig ein breites Spektrum an Entladungen verwendet, die in verschiedener Weise auf der Basis von elektromagnetischer Energie erzeugt werden und die wiederum das aktivierte Medium oder direkt die behandelten Objekte beeinflussen.
  • Aus der CZ 246982 ist ein Verfahren zur räumlich orientierten, chemischen Aktivierung eines Arbeitsgases durch Plasma in einem Bereich bekannt, der zwischen dem Strahl, dem über ein Anpassungsglied Energie von einer Hochfrequenzenergiequelle (HF-Quelle) mit einem unsymmetrischen Ausgang zugeführt wird, und einer geerdeten Elektrode liegt, die am zweiten Ausgang der oben genannten HF-Quelle angeschlossen ist. Die Verwendung dieses Verfahrens ist begrenzt auf nur niedrige Drucke, ein gasförmiges Arbeitsmedium und eine externe Plasmaumgebung.
  • Aus der PV 03925-90.J CZ mit der Priorität vom 9. September 1990 und aus der WO 95/11322 sind Abänderungen des vorstehend erwähnten Verfahrens bekannt, die dadurch gekennzeichnet sind, dass die Erzeugung der Entladung, die das durch den Strahl strömende Arbeitsgas aktiviert, bereits innerhalb der hohlen Elektrode in Form einer so genannten Hochfrequenzhohlkathode stattfindet. Bei der WO 95/11322 ist im Gegensatz zur PV 03925-90.J CZ das Verhältnis von abwechselnden Entladungen und Nichtentladungen dazu verwendet, das Material der Elektrode zu zerstäuben, während bei der PV 03925-90.J CZ ein Dauermagnet zur Orientierung plasmachemischer Prozesse verwendet wird, der axial symmetrisch außerhalb des Strahls angeordnet ist. Diese beiden Verfahren sind nur auf niedrige Drucke, ein gasförmiges Arbeitsmedium und eine externe Plasmaumgebung begrenzt.
  • Gemäß der WO 96/16531 wird das Plasma unter niedrigem Druck in einem gasförmigen Arbeitsmedium mittels der so genannten HF-Hohlkathode mit linearer Geometrie erzeugt, und die plasmachemischen Prozesse ereignen sich unter der Wirkung eines zusätzlichen Magnetfelds mit einer nicht axialen Symmetrie von Dauermagneten oder Elektromagneten.
  • Aus der SE 9302222-6 ist eine Modifikation der vorhergehenden Patente bekannt, bei der die hohle Elektrode von einer Mikrowellenquelle gespeist wird.
  • Der Hauptnachteil der oben genannten Verfahren und Vorrichtungen zur Durchführung der Verfahren besteht darin, dass sie nur auf geringe Drucke bis zu 102-3 Pa, auf ein gasförmiges Arbeitsmedium und auf eine äußere Plasmaumgebung beschränkt sind.
  • Aus der Jpn. J. Appl. Phys. 33 (1994), L 197, ist ein Verfahren zur Erzeugung eines HF-Plasmas unter atmosphärischem Druck bekannt. In diesem Fall wird die HF-Entladung nicht in einer Elektrode mit einer hohlen Geometrie erzeugt, sondern mittels einer kompakten Nadelelektrode, die in eine dielektrische Röhre eingefügt ist, die nur vom Arbeitsmedium im gasförmigen Zustand durchflossen wird. Der Nachteil dieses Verfahrens und dieser Vorrichtung besteht darin, dass die Entladung auf der vollen Nadelelektrode erzeugt wird, durch die die plasmachemischen Prozesse der Aktivierung des strömenden Arbeitsmediums nicht so wirksam wie im Fall der Entladung in einer hohlen Elektrode sind. Die Nadelelektrode ist nur in einem Medium anwendbar, das durch die gasförmige Phase gebildet wird, wobei ein ausgerichteter Reaktionskanal gebildet wird, der ein weiteres Objekt oder Arbeitsmedium aktivieren kann.
  • Im Artikel Plasma Sources Sci. Technol., 1997, S. 468–477, ist ein Verfahren zur Erzeugung einer Hochdruckentladung desjenigen Typs beschrieben, der eine hohle Gleichstromkathode in einem Gas ohne ein Fließen durch das Regimen beschrieben. Die Vorrichtung besteht aus zwei Elektroden, von denen die Kathode einen Hohlraum mit zylindrischer Symmetrie mit einem Innendurchmesser von etwa 0,2 bis 0,7 mm aufweist und von der Anode getrennt ist, die mit der hohlen Kathode unmittelbar mittels einer Schicht aus dielektrischem Material verbunden ist. Es liegt daher kein Plasmastrahl vor, weil nur Gas ohne Strömung durch das Regimen verwendet wird. Die Vorrichtung wird nicht für die Aktivierung oder Anpassung an ein weiteres, akti viertes Arbeitsmedium oder Objekt verwendet, und die Elektroden werden nur von einer Gleichstromquelle gespeist.
  • Aus der CZ 282566 B6 und den Sitzungsberichten des Symp. der Plasma-Physik und -Technologie, Prag, 1997, S. 144–146, ist ein Verfahren zur Erzeugung einer Raumkoronaentladung in Wasser oder Wasser mit Mischungen zwischen den Elektroden bekannt, an die eine Impulsspannung angelegt wird, wobei das Verfahren durch die Tatsache gekennzeichnet ist, dass die Stärke des elektrischen Felds in der Nähe von mindestens einer der Elektroden durch eine partielle Abdeckung dieser Elektrode mittels eines festen und/oder gasförmigen Dielektrikums ansteigt, und dass auf der Elektrodenfläche Spots für den Kontakt des Elektrodenmaterials, des festen und/oder gasförmigen Dielektrikums und/oder Wassers gebildet werden (der so genannten „triple points" von verschiedenen Dielektrizitätskonstanten). Die Vorrichtung zur Durchführung dieses Verfahrens besteht aus einem großen, zylindrischen Metallreaktor, der gleichzeitig die eine Elektrode bildet, die von dem genannten, flüssigen Medium langsam durchflossen wird. Die zweite, stabförmige Elektrode ist in der Reaktorachse längs angeordnet. Das Verfahren zur Erzeugung der Entladung kann nur in wässrigem Medium durchgeführt werden. Die Vorrichtung nimmt viel Raum ein und arbeitet nur, wenn eine sehr wirksame Impulsquelle mit elektrischer Gleichstromenergie (in der Größenordnung von einigen zehn MW in einem Impuls gemäß den Sitzungsberichten des 18. Symp. der Plasma-Physik und -Technologie, Prag, 1997, S. 144–146) verwendet wird.
  • Aus der WO 94/14303 A1 ist ein Verfahren gemäß dem Oberbegriff des Anspruchs 1 bekannt. Das die Dichte der elektromagnetischen Energie örtlich erhöhende Element erzeugt einen Plasmastrahl außerhalb der hohlen Kathode an deren Mündung. Das Arbeitsmedium besteht nur aus einer Gasmischung. Das erzeugte Plasma besteht aus Teilchen, die eine hohe Energie aufweisen, und die in den Molekülen verborgene Energie ist sehr klein.
  • Aus der US 5 361 016 ist einer Vorrichtung zur Plasmaerzeugung bekannt, die das Plasma mittels Mikrowellen erzeugt und die ein magnetisches Feld in einem gasförmigen Medium bei niedrigem Druck und einer Leistung von 1 bis 30 kW oder mehr verwendet. Das Plasmarohr hat die Form eines Resonators für Mikrowellen mit einem Innendurchmesser von einigen Zentimetern. Aus der EP 0 408 841 ist ein Anpassungsglied zum Messen und Einstellen der Lastimpedanz des Plasmas bekannt. Diese beiden Dokumente offenbaren eine Impedanzanpassung, eine Beschränkung auf magnetische Felder und eine manuelle oder mechanische Steuerung des Plasmas.
  • Aus der EP 0 673 186 A ist eine Vorrichtung zur Erzeugung eines Plasmastrahls bekannt, die eine hohle Elektrode und ein Element, nämlich die Spitze der negativen Elektrode in Verbindung mit einer Düse, aufweist, die die Dichte der elektromagnetischen Energie örtlich erhöhen, um eine zugespitzten Plasmakanal zu erzeugen. Die Düse ist eine hohle Elektrode, die eine Anode ist. Im Hohlraum der hohlen Elektrode ist eine zweite Elektrode angeordnet, die nur eine Gleichstromkathode ist. Das Plasma wird mittels einer Spule erzeugt, die außerhalb der dielektrischen, hohlen Elektrode angeordnet ist. Zur Aufrechterhaltung des Plasmazustands kann eine niedrige Spannung von 20–50 V und eine niedrige Leistung in der Größenordnung von 1 kW verwendet werden. Nur für die Zündung des Plasmas ist ein verminderter Gasdruck von 100–200 Pa erforderlich.
  • Alle diese Verfahren sind mit einer aktuellen und hochspezifischen Anordnung der Plasmaerzeugungsvorrichtung und mit hochspezifischen Arbeitsbedingungen (Arbeitsumgebung, Medium, Druck, Temperatur, Frequenz der elektromagnetischen Erregungsenergie, Ausgangsleistung der Energiequelle usw.) eng verbunden. Die praktisch verwendeten Einrichtungen sind gewöhnlich hochspezialisiert, räumlich groß und erfordern einen dichten Raum (beispielsweise Vakuumeinrichtungen) oder einen hohen Energieverbrauch (beispielsweise Plasmatrons mit einigen zehn kW) oder ein Verfahren zur Entladungserzeugung (beispielsweise eine Impulskorona mit einigen zehn kW bis Mw in einem Impuls). Bisher hat keine Möglichkeit bestanden, gezielte, auf geringen Raum gerichtete, genügend feine, doch wirksame, flache oder räumlich kleine Anpassungen der Objekte unter hohem Druck (insbesondere in freier Atmosphäre oder in einem flüssigen Medium) zu schaffen, die mittels einer einzigen Vorrichtung im ganzen Frequenzspektrum der Quellenspannung durchgeführt werden.
  • Die genannten Nachteile werden mit einem Verfahren und einer Vorrichtung zur Erzeugung einer physikalisch und chemisch aktiven Umgebung mittels eines Plasmastrahls gemäß den Ansprüchen 1 und 5 vermieden. Von mindestens einer äußeren Quelle, die eine Leistung von etwa 100–103 W und eine Spannungsamplitude in der Größenordnung von 101–104 V mit der Möglichkeit der Modulation dieser Spannung in einem Frequenzbereich von SS, NF, HF oder Mikrowellen (VHF) aufweist, wird elektromagnetische Energie mindestens einer hohlen Kathode zugeführt, die vom Strom des Arbeitsmediums durchflossen wird, in dem ein elektromagnetisches Feld in Längs- und/oder Querrichtung des Kathodenhohlraums gebildet wird, und zur gleichen Zeit werden freie Ladungsträger durch die Wirkung der die Dichte der elektromagnetischen Energie örtlich erhöhenden Elemente und der Zusammenstoßvorgänge der Teilchen im Arbeitsmedium und auf der Fläche der hohlen Kathode erzeugt, durch die innerhalb der Kathodenhohlräume eine starke Entladung oder ein System aus primären und fadenförmigen Entladungen mit ihren eigenen Innenströmungen erzeugt wird, wobei diese Entladungen durch die Strömung durch das Arbeitsmedium getragen werden, allmählich aktiviert werden und damit das Plasma bilden, zusammen mit der strömenden und weiter aktivierenden Arbeitsmediumströmung durch die hohle Kathode und im äußeren Medium bei einer Unterschall- oder Überschallgeschwindigkeit mit gleichzeitiger Erzeugung eines zugespitzten Reaktionskanals unter einem Druck von 103–106 Pa.
  • Die Übertragung der elektromagnetischen Energie in die durch das Arbeitsmedium getragene Entladung ist gut angepasst.
  • Das Arbeitsmedium und das äußere Medium sind ein Gas, eine Flüssigkeit oder eine Mischung aus beiden oder eine Mischung aus festen Teilchen und Gas, Flüssigkeit oder einer Mischung aus Gas und Flüssigkeit.
  • Der Vorgang der Plasmaerzeugung und die Aktivierung des Arbeitsmediums werden vorteilhafterweise zusammen durchgeführt und durch ein weiteres magnetisches Feld gesteuert, das von einem Permanentmagnet und/oder von einem Elektromagnet oder von einem System aus Permant- und Elektromagneten gebildet wird.
  • Der Plasmastrahl zur Erzeugung einer physikalisch und chemisch aktiven Umgebung gemäß der Erfindung wird durch mindestens eine hohle Kathode aus einem leitenden oder leitenden und dielektrischen Material mit mindestens einem die Dichte der elektromagnetischen Energie örtlich erhöhenden Element in der hohlen Elektrode gebildet, das durch ein Bauelement und/oder durch ein physikalisches Element, das in Quer- und/oder Längsrichtung bezüglich des strömenden Arbeitsmediums arbeitet, und ferner durch mindestens eine Quelle elektromagnetischer Energie gebildet, die über ein System aus Regel, Übersetzungs- und Übertragungselementen mit dem leitenden Teil der hohlen Kathode verbunden ist.
  • Das Bauelement besteht aus einer rauen Fläche des Elektrodenmaterials und/oder des Hohlraums und/oder eines Vorsprungs und/oder einer Stelle im Elektrodenhohlraum und/oder von Öffnungen und/oder Schlitzen in der hohlen Elektrode und/oder einem Kontaktplatz des leitenden Teils der Elektrode mit dielektrischem Material.
  • Das physikalische Element wird aus einer Gruppe ausgewählt, die aus einer Hilfselektrode mit unterschiedlichem Potential zur hohlen Elektrode und/oder einer Quelle aus elektrisch geladenen Teilchen oder Teilchen, die auf höhere Energieniveaus der erregten Teilchen erregt sind, und/oder einer Quelle aus Photonen oder Teilchen mit hohen Energien, die in Quer- und/oder Längsrichtung bezüglich des strömenden Arbeitsmediums arbeiten.
  • In vorteilhafter Weise ist ein Magnet und/oder ein Elektromagnet innerhalb oder außerhalb der hohlen Elektrode angeordnet.
  • Die hohle Elektrode ist an einem nichtleitenden Halter befestigt, der eine manuelle oder mechanische Handhabung erlaubt.
  • Auf diese Weise ist es möglich, vier grundsätzliche Arten von hochvariablen und dynamischen, einpoligen (Speisung der Elektrode mit HF-Energie) oder zweipoligen (elektrische Energiequelle ohne Bandbegrenzung) Hochdruckentladungen oder Entladungssystemen herzustellen, die miteinander verbunden werden können und aus dem Hohlraum des Plasmastrahls oder der Mündung von Wänden dieses Hohlraums ausgeblasen und in die äußere Umgebung gerichtet werden können. Diese grundsätzlichen Arten von Entladungen sind folgende:
    • 1. Eine Hochdruckentladung oder ein System von Entladungen, die innerhalb der hohlen Elektrode erzeugt wird bzw. werden, die ein Bestandteil des Plasmastrahls ist und/oder diesen bildet, und die aus der Elektrode oder aus den Wänden der Elektrodenmündung und/oder aus einem System aus Hohlräumen der Elektroden (multizellulare Entladung) geblasen werden. Diese Entladung oder dieses System von Entladungen kann durch zwei Begrenzungszustände gekennzeichnet werden:
    • a) der Plasmastrahl wird im Elektrodenhohlraum nur am negativen Teil der Spannungsamplitude an der Elektrode erzeugt (die hohle Elektrode ist die Kathode, ab einer Frequenz von etwa 1 kHz wird das Plasma im Elektrodenhohlraum ständig gehalten);
    • b) das Plasma wird im Elektrodenhohlraum aktiv erzeugt, unabhängig von der Spannungsamplitude an der Elektrode (beispielsweise durch die genannten Elemente, die die Dichte der elektromagnetischen Energie örtlich erhöhen).
    • 2. Eine „schwere" Hochdruckentladung oder ein System von Entladungen, die aus der hohlen Elektrode geblasen wird bzw. werden, von der sie mindestens teilweise durch eine Schicht aus dielektrischem Material getrennt ist bzw. sind, oder die aus den Wänden der Elektrodenmündung und/oder dem System aus Elektrodenhohlräumen ausgeblasen wird bzw. werden.
    • 3. Eine Hochdruckentladung oder ein System aus Entladungen, die mit den Entladungen der Arten 1 und 2 verbunden ist bzw. sind und durch dielektrische Elemente der hohlen Elektrode erzeugt wird bzw. werden, erfolgt durch:
    • c) Polarisation und/oder Ansammlung der Polarisationsladung an den Wänden und Kanten des dielektrischen Rohrs oder anderer dielektrischer Elemente des Elektrodenstrahls,
    • d) Erhöhung der Dichte der elektromagnetischen Energie an den Übergängen des leitenden Materials zum Dielektrikum (und/oder zum dielektrischen Material verschiedener, dielektrischer Konstanten).
    • 4. Eine Hochdruckentladung oder ein System aus Entladungen, die mit den Entladungen 1 bis 3 außerhalb der hohlen Elektrode in der Außenumgebung oder mit dem Flackern des in den Entladungen 1 bis 3 erzeugten Plasmas verbunden ist bzw. sind.
  • Die Erhöhung und die dauernde Erzeugung der einzelnen Entladungen oder Systeme von Entladungen mittels der oben genannten Vorrichtung findet unter Erfüllung der folgenden Aufbau-, Arbeits- und Existenzbedingungen statt:
    • 1. Die Erhöhung der ersten Entladeart findet unter der Bedingung statt, dass die mittlere, freie Elektronenflugbahn im vorgegebenen Arbeitsmedium unter dem vorgegebenen Druck im Wesentlichen niedriger als die und/oder vergleichbar mit den kleinsten Dimensionen des Plasmastrahlhohlraums und/oder des Entladungsraums außerhalb der Mündung dieses Hohlraums ist und dass daneben die Gleichstrom- oder NF-Speisung des Plasmastrahls unter der Annahme stattfindet, dass die kleinste Dimension des Querschnitts des Elektrodenhohlraums größer als die Minimalentfernung des negativen, sichtbaren Strahlung von der Kathode ist.
    • 2. Die zweite Entladungsart ist nicht durch eine gegenseitige Beziehung der mittleren, freien Elektronenflugbahn im vorgegebenen Arbeitsmedium unter dem vorgegebenen Druck zur kleinsten Dimension des Hohlraumquerschnitts des Plasmastrahls beschränkt, wobei dieser Querschnitt den Innenentladungsraum und/oder Entladungsräume außerhalb der Elektrodenmündung beschränkt, gerade wenn die so genannte „schwere" Entladungsart innerhalb der hohlen Elektrode verwendet wird, d. h., wenn der Innenentladungsraum vom leitenden Teil des Elektrodenhohlraums durch eine Schicht aus dielektrischem Material, insbesondere für die Übertragung von Hochfrequenzenergie, getrennt ist.
  • Die ausgeblasene Entladung oder das ausgeblasene System von Entladungen von der Mündung des Elektrodenstrahls kann sogar trotz der ersichtlich verschiedenen Arten teilweise dadurch gekennzeichnet werden, dass die Temperatur der neutralen Teilchen im Plasma an die Rotationstemperatur der OH-Moleküle angenähert ist, die sich zwischen 300 und 1000 K gemäß der gewählten Aufbauvariante, dem verwendeten Arbeitsmedium und dem Anwendungsverfahren ändert.
  • Unter niedrigem Druck (etwa 100–103 Pa) in einer gasförmigen oder plasmatischen Umgebung erfolgen diese Entladungen stetig in einigen bekannten Arten von Entla dungen, die aus dem Plasmastrahl mit hohler Geometrie (eine hohle Kathode usw.) ausgeblasen werden.
  • Das Verfahren und die Vorrichtung gemäß der Erfindung sind in jedem Medium und unter jedem Druck anwendbar. Sie können folgendermaßen verwendet werden:
    • 1. in gezielter Weise für die Aktivierung und Modifikation von Gas, Flüssigkeit, Mischungen aus Gas und Flüssigkeit, mitgerissene Staubteilchen oder kleine Objekte, die durch den Plasmastrahl strömen;
    • 2. für die Modifikation von Objektflächen;
    • 3. für die Volumenmodifikation der behandelten Objekte;
    • 4. für die Modifikation von eingetauchten oder verteilten Miniobjekten oder -zusammensetzungen, die in den behandelten Objekten vorhanden sind;
    • 5. für die Aktivierung eines weiteren Arbeitsmediums, das in der Folge die behandelten Objekte und/oder Zusammensetzungen beeinflusst;
    • 6. für die Änderung des Plasmamaterials oder dessen Teile;
    • 7. für die plasmachemische Synthese von Zusammensetzungen im festen, flüssigen, gasförmigen, plasmatischen oder gemischten Zustand;
    • 8. die Anwendung der Erfindung ist auch bei biologischen Objekten (insbesondere bei dem HF-Verfahren zur Erzeugung der Entladung) und bei anderen Anwendungen möglich.
  • Bei der manuellen Arbeit mit dem Plasmastrahl ist eine sofortige und direkte Prüfung der plasmachemischen Prozesse und deren Wirkungen auf das behandelte Objekt möglich, was ausgeschlossen oder beträchtlich begrenzt ist, wenn das Objekt in einem plasmachemischen Reaktor angeordnet wird.
  • Kurzbeschreibung der Zeichnungen
  • Die Zeichnungen zeigen in
  • 1 das Prinzip eines Plasmastrahls zur Erzeugung einer physikalisch und chemisch aktiven Umgebung und gleichzeitig das einfachste Beispiel eines vorteilhaften Aufbaus der Vorrichtung,
  • 310, 12 und 16 zwei verschiedene Aufbauten einer hohlen Elektrode,
  • 2, 11 und 13a, b, c einfache Plasmastrahlarten und
  • 14 und 15 Aufbauvarianten des Plasmastrahls.
  • Beispiele
  • Beispiele der Aubauversion einer hohlen Kathode und grafische Darstellung des Plasmastrahls.
  • Eine grundsätzliche Aufbauvariante einer hohlen Kathode 1 ist ein hohler Zylinder mit mindestens einem Element, das die Dichte der elektromagnetischen Energie örtlich erhöht und das beispielsweise ein Aufbauelement 12 ist, das durch die raue Fläche des Hohlraums 13 der Elektrode 1 oder durch eine beispielsweise in 5 dargestellte Nase oder eine Stelle oder durch Öffnungen oder Schlitze gebildet wird, die in der in 4 gezeigten, hohlen Kathode 1 angeordnet sind.
  • Ein Element, das die Dichte der elektromagnetischen Energie örtlich erhöht, kann auch ein physikalisches Element 17 sein, das im Hohlraum 13 der Elektrode 1 eingesetzt ist (10b, d, 12b, 15).
  • Eine weitere Aufbauvariante ist auf den vorhergehenden Varianten gegründet. Die grundsätzliche Variante der hohlen Elektrode 1 wird durch dielektrische Teile vervollständigt. Die Innen- oder Außenwände der Elektrode(n) 1 sind mindestens teilweise mit einer Schicht aus dielektrischem Material 18 und/oder von einem System aus vollen und/oder hohlen und/oder porösen, dielektrischen Materialien bedeckt, die die elektrisch leitenden Teile 19 umgeben, oder sie sind innerhalb ihrer Hohlräume angeordnet, wie es in den 12a, b gezeigt ist, in denen 18 das dielektrische Material und 19 der elektrisch leitende Teil ist.
  • Die hohle Elektrode 1 wird durch ein System aus Hohlräumen in der Elektrode 1 gebildet, wie es in den 6, 7 gezeigt ist. Gemäß 7 sind die vom Arbeitsmedium durchströmten Hohlräume 13 durch Räume zwischen porösem Material 16 gebildet, das elektrisch leitend oder nichtleitend ist und das als Kugeln oder als Netz ausgebildet sein kann. Die Hohlräume 13 der Elektrode 1 kann auch durch eine zu einer Spirale gewundene Platte oder durch ein System aus Zylindern oder deren Teile gebildet sein, die ineinander angeordnet sind, wie es in den 8, 9a, b dargestellt ist, die nebenbei bemerkt in Längsrichtung zueinander verschoben sein können, wobei die in der Mitte gelegenen Zylinder nicht hohl zu sein brauchen (ein voller Punkt).
  • Eine weitere Aufbauvariante besteht aus einem System von Segmenten 15, die einen Hohlraum 13 oder Hohlräume 13 der Elektrode 1 bilden, wie es in den 10a–d dargestellt ist. Die einzelnen Segmente 15 können durch dielektrisches Material 18 (10c, d) voneinander getrennt sein, oder sie können frei zusammengesetzt (10a, b) und nur durch das Arbeitsmedium voneinander getrennt sein. Die Aufbaulösung der in 16 dargestellten, hohlen Elektrode 1 weist ein Bündel oder Büschel aus feinen Fasern 29 oder ein Bausch aus nichtorganischen oder organischen Materialien, wie Glas, Metall, Keramik, Baumwolle, Pferdehaare, synthetische Fasern usw., die über das isolierende, dielektrische Material 18 an der Elektrode 1 befestigt sind, oder eine andere Einrichtung auf, mit der es möglich ist, die Fläche des plasmabehandelten Objekts, beispielsweise eines Bleistifts oder eines Gravierwerkzeugs, zu modifizieren.
  • Beispiel 1 (keine Ausführung der vorliegenden Erfindung und nicht beansprucht)
  • Die einfachste Aufbauvariante, die dem Plasmastrahl ausgesetzt wird, ist in 1 für den Fall dargestellt, in dem die Umgebung des Plasmastrahls sich im gasförmigen oder plasmatischen Zustand unter einem Druck, der größer als etwa 103 Pa ist, und/oder sich in der freien Atmosphäre oder unter einem Druck befindet, der größer als der atmosphärische Druck ist. Die Elektrode 1 hat die Form eines Hohlzylinders mit einer konischen Verengung und mit einem Element 14, das die Dichte der elektromagnetischen Energie örtlich erhöht, wobei das Element durch scharte Kanten der Hohlraummündung 13 der Elektrode 1 gebildet ist. Diese Art wird vorzugsweise bei einem System mit einer äußeren Gegenelektrode verwendet, oder sie dient als physikalisches Element 17 zur Erzeugung der Entladung innerhalb des Hohlraums 13 und ferner der Elektrode 1.
  • An die hohle Elektrode 1, durch die das Arbeitsgas 5 strömt, ist über ein Impedanzanpassungsglied 4, das aus einem System aus regelnden, übersetzenden und übertragenden Elementen besteht, eine SS-, NF- HF- oder VHF-Quelle 3 angeschlossen. Die hohle Elektrode 1 ist an einem bewegungsfähigen Halter 2 aus dielektrischem Material durch Mittel befestigt, mit denen es möglich ist, den Plasmastrahl leicht zu steuern. Am Ort der Mündung der hohlen Elektrode 1 erhöht sich die Dichte der elektromagnetischen Energie erheblich, wobei die Mündung mit einem Potential durch Abstreifen der Raumladung von den Wänden und Stellen (Punkten) der Elektrode 1 mittels des strömenden Arbeitsmediums 5 versehen wird, so dass die Möglichkeit einer leichten Zündung einer Entladung 7 an der Mündung der Elektrode 1 geschaffen wird. Die Entladung 7 dringt in die Änderung des negativen Stroms der Quellenspannungsamplitude oder in deren negativen Impuls zum Hohlraum 13 der Elektrode 1 ein, wo sie einen hochintensiven Typ einer Entladung 6 erzeugt. Die Entladungen 6 und 7 aktivieren das strömende Medium 5. Das in dieser Weise erzeugte Plasma 8 strömt durch den Hohlraum 13 der Elektrode 1 und durch deren Mündung in die äußere Umgebung, in der es einen spitz zulaufenden Reaktionskanal 9 bildet, in dem das Arbeitsgas 5 wiederum aktiviert wird, wobei es gleichzeitig die Anpassung der Objekte in der äußeren Umgebung erlaubt. Die ursprünglichen Zusammenwirkungsprozesse 10, die als Rückkopplung markiert sind, beeinflussen die Plasmaerzeugung in geeigneter Weise.
  • Beispiel 2
  • Der in 2 gezeigte Plasmastrahl besteht aus einem System aus hohlen Elektroden 1, von denen mindestens eine die Quelle der physikalisch und chemisch aktiven Umgebung und mindestens eine mechanisch oder unmittelbar manuell mittels des Halters 2 steuerbar ist. Auf der Basis eines Zusammenstoßes von zwei oder mehreren Reaktionskanälen 9 der einzelnen, hohlen Elektroden 1 ergibt sich ein Reaktionskanal 9 mit Bildungen aus strömendem Plasma (gewöhnlich sehr viel voluminöser als die primären Reaktionskanäle), das erneut oder weiterhin das zugeführte Arbeitsmedium 5 aktiviert.
  • Beispiel 3
  • 11 zeigt eine schematische Zeichnung eines Plasmastrahls, wenn das Material der Elektrode 1 durch das strömende Medium 5 gekühlt wird, das vorteilhafterweise nach der Erfüllung seiner Kühlfunktion für die darauf folgende Aktivierung in einigen der Domänen der physikalisch und chemisch aktivierten Umgebung 6 und 7 oder für die Bildung der Form des Reaktionskanals 9 benutzt wird.
  • Ein Teil des Plasmastrahls aller oben angeführter Varianten kann ein Permanentmagnet 20 und/oder ein Elektromagnet oder ein System dieser Magneten sein, das außerhalb des Plasmastrahls (13a–c) angeordnet ist, der an der Bildung teilnimmt und den Prozess der Plasmaerzeugung steuert.
  • Beispiel 4 (keine Ausführung der vorliegenden Erfindung und nicht beansprucht)
  • Eine weitere Aufbauvariante mit einer Kombination von leitendem und dielektrischem Material ist in 14 dargestellt und kann in jedem Arbeitsmedium im gasförmigen Zustand oder in Kombination mit einer losen Mischung und/oder mit kleinen Tröpfchen oder Dämpfen einer Flüssigkeit verwendet werden, ohne eine Gegenelektrode unter einem Druck von 103–106 Pa benutzen zu müssen.
  • Die Elektrode 1 besteht aus Ta, Mo, Pt, Ni, Stahl oder anderen Metallen oder Nichtmetallen, die physikalisch und chemisch widerstandsfähige, elektrisch leitende Materialien in Form einer hohlen Nadel sind, deren Mantel ein Aufbauelement in Form einer Öffnung ist. Die Elektrode 1 ist in einem Trägerteil 21 eingesetzt, das mit der Quelle 3 über ein Impedanzanpassungsglied 4 verbunden ist und der das Arbeitsgas 5 von einer Speisequelle zugeführt wird, wobei die Quelle 3 beispielsweise HF-Energie (13,56 MHz, 10–500 W) abgibt. Dieses Trägerteil 21 ist an einem nichtleitenden Halter 2 über dessen beweglichen und drehbaren Teil 22 befestigt. Die Elektrode 1 ist mit einem konzentrischen, isolierenden, aus Quarzglas bestehenden Haarröhrchen 23 abgedeckt, mit der Möglichkeit der Steuerung der Eintauchtiefe der hohlen Elektrode 1 in das Haarröhrchen 23, und gleichzeitig wird die Elektrode 1 mit einem Permanentmagnet 20 mit der Möglichkeit der unabhängigen, vertikalen Bewegung durch Befestigen am Trägerteil 21 über das dielektrische Material 18 koaxial verschoben.
  • Das Arbeitsmedium 5 strömt einerseits in der Elektrode 1 und andererseits durch das Aufbauelement 12, durch das es in den Raum zwischen der Elektrode 1 und der Wand des Haarröhrchens 23 strömt, so dass das Haarröhrchen 23 gekühlt werden kann. Auf der Basis der physikalischen Prozesse an der Mündung der hohlen Elektrode 1 wird eine intensive HF-Entladung 6 im Hohlraum der Elektrode 1 eingeleitet, und zwar vom Typ einer virtuellen, hohlen Hochdruckkathode, die von ihrer Mündung aus ausgeblasen wird und die danach durch das Haarröhrchen aus Quarzglas 23 und durch das von den Magneten 20 erzeugte Magnetfeld geformt wird. In den Entladungen 6 und 7 wird das Arbeitsmedium 5 dauernd aktiviert. Das so strömende Plasma 8 bildet einen zugespitzten Reaktionskanal 9 in der äußeren Umgebung. Bei Anwendungen unter der Stufe der Flüssigkeit, im Raum zwischen der Wand des Haarröhrchens 23 und der Elektrode 1, wird eine ergänzende HF-Entladung 24 erzeugt (kapazitiv gekoppelt über die Wand des dielektrischen Rohrs mit der Flüssigkeit), die die Aktivierung des durch diesen Raum strömenden Arbeitsmediums 5 veranlasst. Am Ausgang der Elektrode 1 bilden das Arbeitsmediumgas (5), das in den Entladungen 6, 7 und 24 aktiviert wird, und das sich ergebende, herausragende Entladung 25 zusammen einen Reaktionskanal 9.
  • Beispiel 5 (keine Ausführung der vorliegenden Erfindung und nicht beansprucht)
  • Ein weiterer, vorteilhafter Aufbau ist die in 12b gezeigte Variante, bei der auf dem dielektrischen Material 18 in Form eines Haarröhrchens aus Quarzglas mit einem Innendurchmesser von etwa 0,01–5 mm eine leitende Schicht 19 (Grafit, Kupfer usw.) von der Außenseite her aufgebracht ist. Auf die leitenden Schicht 19 gelangt von der Quelle (5–50 W, 13,56 MHz) über die Anpassungsglieder HF-Energie. Die Entladung wird durch die hohe Dichte der elektromagnetischen Energie an der Mündung der Elektrode nahe dem Rand der die hohle Elektrode 1 bildenden, leitenden Schicht 19 eingeleitet und in den Hohlraum 13 gezogen, der durch das dielektrische Material 18 gebildet wird. Diese Aufbauvariante eignet sich für die Aktivierung eines gasförmigen Mediums oder einer Mischung aus Gas und Flüssigkeit (Aerosol), insbesondere für sehr örtliche Behandlungen von Objektflächen oder die Behandlung eines Mikrovolumens einer Flüssigkeit unter einem Druck von 103–106 Pa.
  • Diese Variante kann mit einem physikalischen Element 17 kombiniert werden, das im Hohlraum des dielektrischen Materials 18 angeordnet ist und das beispielsweise aus Wolfram- oder Stahl- oder Kupferdraht besteht, der quer und/oder längs in den Hohlraum 13 eingefügt ist (und der sich auf einem anderen Potential als die hohle Elektrode 1 befindet). Damit ist es möglich, auch ein flüssiges Arbeitsmedium mit dem Plasma zu aktivieren.
  • Beispiel 6
  • Eine weitere, aktuelle Variante ist in 15 gezeigt. Sie kann in jeder der oben genannten, äußeren Umgebungen und unter Verwendung jedes Arbeitsmediums unter einem Druck von 103–106 Pa angewendet werden. In die eine beliebige Form aufweisende Elektrode 1, die mit der SS-, NF-, HF- oder VHF-Energie liefernden Quelle 3 über das Impedanzanpassungsglied 4 verbunden ist und die vom Medium 5 durchströmt wird, ist ein geeignetes, physikalisches Element 17 von der Seite eingesetzt, die in den Hohlraum 13 der Elektrode 1 eindringt. Im dargestellten Fall ist eine zusätzliche Elektrode 26 mit einem anderen Potential als die Elektrode als physikalisches Element 17 gewählt. Diese zusätzliche Elektrode 26 besteht aus Molybdän-, Wolfram- und/oder Stahldraht oder einem Grafitstab und ist vom Material der Elektrode 1 durch das Haarröhrchen 27 aus Quarzglas, Keramik oder Teflon getrennt. Zwischen der Fläche der Hohlraumwand 13 der Elektrode 1 und der Stelle der zusätzlichen Elektrode 26 tritt in der strömenden Umgebung des Arbeitsmediums 5 ein merkliches Potentialgefälle auf, aufgrund dessen die primäre Anfangsentladung erzeugt wird (im gegebenen Fall ein Bogen oder eine Korona 28), die wiederum die intensive Entladung 6 im Hohlraum 13 einleitet. Eine zweite intensive Entladung des Typs mit einer virtuellen, hohlen Hochdruckkathode 6 und/oder mit einer flackernden, primären Entladung 28, die durch die Strömung des aktivierten Arbeitsmediums 5 getragen wird, geht von der Mündung der Elektrode 1 aus und flackert oder geht in einen anderen Typ der Entladung 7 in der äußeren Umgebung über. Die Strömung des aktivierten Arbeitsmediums 5 zusammen mit dem strömenden Plasma bilden in der äußeren Umgebung den zugespitzten Reaktionskanal 9.
  • Beispiel 7
  • Die Plasmastrahlen können für eine Feinbearbeitung von Einzelheiten nach einer mechanischen oder Laserbearbeitung, für eine Feinbearbeitung oder Schaffung von Einzelheiten bei Schmuck-, Goldschmiede- und Glaswaren oder bei Kunst- und Restaurierungsarbeiten, insbesondere beim Entfernen, Sprühen oder Reparieren von Gemälden, Schriften oder einer Schutzschicht auf Objekten und/oder bei der Wiederherstellung und Konservierung dieser Objekte verwendet werden.
  • Bruchstücke von sehr korrodiertem, archäologischen Glas mit örtlichen Schichten von Ablagerungen mit einer Stärke bis zu 1 mm und Schichten aus hydriertem Silikonoxid mit einer Stärke von 20–200 μm mit einer wesentlichen Darstellung von verschiedenen, abgelagerten Verbindungen, die eine starke Färbung bis zur Undurchsichtigkeit des Materials bewirken, wurden in eine 1%-ige Materiallösung aus Komplexon III (C10H14O8N2Na2·2H2O) in destilliertem Wasser gegeben und der Wirkung der Vorrichtung ausgesetzt. Nacheinander wurden bei einem Druck von etwa 103 Pa und höher die folgenden Varianten des Arbeitsmediums geprüft: Ar, N2, Ar + N2, Ar + H2, Ar + SF6, Ar + C3F8, Ar + C4F8 usw. Die von der HF-Energiequelle abgegebene Ausgangsleistung betrug 50–200 W (13,56 MHz), und die Anwendungszeit betrug Minuten. Die archäologischen Glasbruchstücke wurden in allen geprüften Fällen nach Anwendung der Vorrichtung gereinigt.
  • Die Analysen der Bruchstücke nach der Anwendung des oben beschriebenen Verfahrens wurden mit einem Abtastelektronenmikroskop mit einer Energieverteilungs- und Wellenverteilungsanalysator durchgeführt und zeigten, dass das Wesentliche der erhaltenen Reinigungswirkung, die sich aus der Transparentmachung der Glasbruchstücke ergab, eine bemerkenswerte Verminderung der Verbindungen, die Fe, Mn, Ca, P, K und andere Verbindungen enthielten, aus den Korrosionsschichten war, dass es aber eine geringe Anreicherung der Gelschicht durch Na gab. Die Anwendung von insbesondere SF6 als Mischungsteil des Arbeitsgases erlaubte eine deutliche Verminderung der Porosität der Fläche der Gelschichten durch deren Glättung mittels Ätzvorgängen.
  • Bei der Anwendung des Verfahrens überschritten die beobachtete Gesamtgeschwindigkeit und der Gesamtwirkungsgrad, aber auch die Feinheit der Reinigung der Fläche des korrodierten Glases (einschließlich der Lokalisierungsmöglichkeit der Behandlung der Bruchstückfläche) viele Male die Wirkungen der bekannten Anwendung durch das Plasma in nichtaktivierter Flüssigkeit. Diese Tatsache rührt von dem ganz, unterschiedlichen Mechanismus der physikochemischen Reaktionen her, die in Kontakt mit dem aktivierten Medium oder der Entladung mit der Flüssigkeit und gleichzeitig mit der Objektfläche auftreten.
  • Die Erfindung kann insbesondere in Laboratorien der physikalischen und chemischen Richtung, im Materialkundezweig, in der Mikroelektronik, Elektrotechnik, in der chemischen, Textil-, Glasherstellungs- und Kosmetikindustrie, in der Medizin, in der Ökologie, zur Restaurierung und Konservierung von Objekten des Kulturguts, bei Kunstaktivitäten usw. verwendet werden. Bei der Anwendung der Erfindung im Fall der Verwendung von Hochfrequenzenergie (Frequenzen höher als 1 MHz) droht keinem Betreiber oder möglichen, lebenden Anwendungsobjekt eine ernsthafte Verletzung durch die Elektrizität, aber die Erfindung sollte nicht in Gegenwart von Personen mit Herzschrittmachern angewendet werden.

Claims (15)

  1. Verfahren zur Erzeugung von physikalisch und chemisch aktiven Umgebungen in Form eines Plasmastrahls bei einem Druck von 103–106 Pa mittels mindestens einer hohlen Kathode (1), die ein Mittel aufweist, das die Dichte der elektromagnetischen Energie lokal erhöht, die ferner von einem Strom eines Arbeitsmediums (5) durchströmt wird und die mit elektromagnetischer Energie versorgt wird, dadurch gekennzeichnet, dass a) das die Dichte der elektromagnetischen Energie lokal erhöhende Mittel mindestens ein Element (12, 17) aufweist, das innerhalb der hohlen Kathode derart vorgesehen ist, dass es die Dichte der elektromagnetischen Energie innerhalb der hohlen Kathode erhöht, wobei dieses Element in Quer- und/oder Längsrichtung gegenüber dem strömenden Arbeitsmedium (5) arbeitet, b) die elektromagnetische Energie von mindestens einer externen Quelle (3) abgeleitet wird, deren Ausgangsleistung 100–103 W und deren Amplitude 101–104 V beträgt, c) ein elektromagnetisches Feld im Hohlraum (13) der hohlen Kathode in Längs- und/oder Querrichtung der Kathode erzeugt wird und gleichzeitig freie Ladungsträger innerhalb des Hohlraums (13) und auf der Oberfläche des hohlen Kathode durch die Wirkung des die Dichte der elektromagnetischen Energie erhöhenden Mittels und durch Zusammenstoßvorgänge von im Strom des Arbeitsmediums befindlichen Partikeln erzeugt werden, d) eine intensive Entladung (6) oder ein Strom aus einer Primärentladung und einer fadenförmigen Entladung mit ihren eigenen, internen Strömungen von der Innenseite der hohlen Kathode (1) ausgeht, wobei das Plasma gebildet wird, e) das derart gebildete Plasma (6) vom strömenden Arbeitsmedium (5) durch die hohle Kathode (1) und in die externe Umgebung mit Unter- oder Über schallgeschwindigkeit unter gleichzeitiger Erzeugung eines entsprechenden Reaktionsplasmakanals (8) getragen wird.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Übertragung der elektromagnetischen Energie in die vom Arbeitsmedium (5) getragene Entladung impedanzangepasst ist.
  3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass das Arbeitsmedium (5) und das externe Medium ein Gas, eine Flüssigkeit, eine Mischung aus beiden oder eine Mischung aus Festpartikeln und einem Gas, einer Flüssigkeit oder einer Mischung aus beiden ist.
  4. Verfahren nach den Ansprüchen 1 bis 3, dadurch gekennzeichnet, dass der Vorgang der Plasmaerzeugung und die Aktivierung des Arbeitsmediums (5) zusammen erzeugt und durch ein weiteres magnetisches Feld geregelt werden, das von einem Dauermagnet und/oder einem Elektromagnet oder deren Systeme erzeugt wird.
  5. Plasmastrahlvorrichtung zur Erzeugung physikalisch und chemisch aktiver Umgebungen in Form eines Plasmastrahls bei einem Druck von 103–106 Pa gemäß den Ansprüchen 1 bis 4 mit mindestens einer hohlen Kathode (1) aus leitendem Material oder aus leitendem und dielektrischem Material und mit einem die Dichte der elektromagnetischen Energie lokal erhöhenden Mittel, wobei die Kathode von einem Strom eines Arbeitsmediums (5) durchströmt wird, dadurch gekennzeichnet, dass das die Dichte der elektromagnetischen Energie lokal erhöhende Mittel mindestens ein Element (12, 17) aufweist, das innerhalb der hohlen Kathode derart vorgesehen ist, dass es die Dichte der elektromagnetischen Energie innerhalb der hohlen Kathode erhöht, so dass im Betrieb eine intensive Entladung (6) innerhalb der hohlen Kathode erzeugt wird, wobei das mindestens eine Element (12, 17) in 2Quer- und/oder Längsrichtung gegenüber dem strömenden Arbeitsmedium (5) arbeitet, und dass die Vorrichtung mindestens eine elektromagnetische Energie liefernde Quelle (3) aufweist, deren Ausgangsleistung 100–103 W und deren Amplitude 101–104 V beträgt und die über ein Impedanzeinstellglied (4), das aus einem System aus regelnden, transformierenden und übertragenden Elementen gebildet ist, an den leitenden Teil der hohlen Kathode angeschlossen ist.
  6. Plasmastrahlvorrichtung nach Anspruch 5, dadurch gekennzeichnet, dass sie zusätzliche Elemente aufweist, die die Dichte der elektromagnetischen Energie lokal erhöhen und die an der Mündung der hohlen Kathode angeordnet sind.
  7. Plasmastrahlvorrichtung nach Anspruch 5 oder 6, dadurch gekennzeichnet, dass sie zusätzliche Elemente aufweist, die die Dichte der elektromagnetischen Energie lokal erhöhen und die außerhalb der hohlen Kathode angeordnet sind.
  8. Plasmastrahlvorrichtung nach den Ansprüchen 5 bis 7, dadurch gekennzeichnet, dass das mindestens eine Element (12) durch eine raue Oberfläche des Hohlraums (13) der Kathode oder mindestens eines Teils dieses Hohlraums gebildet ist.
  9. Plasmastrahlvorrichtung nach den Ansprüchen 5 bis 7, dadurch gekennzeichnet, dass das mindestens eine Element (12) ein Vorsprung und/oder eine Stelle des Hohlraums (13) ist.
  10. Plasmastrahlvorrichtung nach den Ansprüchen 5 bis 7, dadurch gekennzeichnet, dass das mindestens eine Element (12) ein System aus Hohlräumen ist, die in der Kathode angeordnet sind.
  11. Plasmastrahlvorrichtung nach den Ansprüchen 5 bis 7, dadurch gekennzeichnet, dass das mindestens eine Element (12) durch Öffnungen und/oder Schlitze gebildet wird, die in der hohlen Kathode (1) angeordnet sind.
  12. Plasmastrahlvorrichtung nach den Ansprüchen 5 bis 7, dadurch gekennzeichnet, dass das mindestens eine Element (12) durch eine mit dielektrischem Material (18) versehene Kontaktstelle des leitenden Teils (19) der Kathode (1) gebildet ist.
  13. Plasmastrahlvorrichtung nach einem der Ansprüche 5 bis 12, dadurch gekennzeichnet, dass das mindestens eine Element (17) aus einer Gruppe ausgewählt ist, die eine zusätzliche Elektrode mit unterschiedlichem Potential zu dem der hohlen Kathode (1) und/oder eine Quelle aus elektrisch geladenen Partikeln mit hohen Energiepegeln oder aus auf höhere Energiepegel erregten Partikeln und/oder eine Quelle aus Photonen oder Partikeln mit hohen Energien, die in Quer- und/oder Längsrichtung gegenüber dem strömenden Arbeitsmedium (5) wirken, aufweist.
  14. Plasmastrahlvorrichtung nach einem der Ansprüche 5 bis 13, dadurch gekennzeichnet, dass in der hohlen Kathode (1) oder außerhalb dieser ein Magnet und/oder ein Elektromagnet (20) angeordnet ist.
  15. Plasmastrahlvorrichtung nach einem der Ansprüche 5 bis 14, dadurch gekennzeichnet, dass die hohle Kathode (1) an einem nichtleitenden Halter (2) befestigt ist, der eine manuelle oder mechanische Steuerung erlaubt.
DE69926356T 1998-05-12 1999-05-07 Das verfahren zur erzeugung einer physikalisch und chemisch aktiven umgebung durch einen plasmastrahl und plasmastrahl dazu Expired - Fee Related DE69926356T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CZ19981476A CZ147698A3 (cs) 1998-05-12 1998-05-12 Způsob vytváření fyzikálně a chemicky aktivního prostředí plazmovou tryskou a plazmová tryska
CZ147698 1998-05-12
PCT/CZ1999/000012 WO1999059385A1 (en) 1998-05-12 1999-05-07 The method of making a physically and chemically active environment by means of a plasma jet and the related plasma jet

Publications (2)

Publication Number Publication Date
DE69926356D1 DE69926356D1 (de) 2005-09-01
DE69926356T2 true DE69926356T2 (de) 2006-06-01

Family

ID=5463338

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69926356T Expired - Fee Related DE69926356T2 (de) 1998-05-12 1999-05-07 Das verfahren zur erzeugung einer physikalisch und chemisch aktiven umgebung durch einen plasmastrahl und plasmastrahl dazu

Country Status (7)

Country Link
US (1) US6525481B1 (de)
EP (1) EP1077021B1 (de)
JP (1) JP2002515639A (de)
AT (1) ATE300857T1 (de)
CZ (1) CZ147698A3 (de)
DE (1) DE69926356T2 (de)
WO (1) WO1999059385A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009045200A1 (de) * 2009-09-30 2011-04-21 Inter-Consult Gmbh Verfahren und Vorrichtung zum Bearbeiten von Bauteilen elektrischer Maschinen

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SK6292001A3 (en) * 2001-05-04 2002-11-06 Mirko Cernak Method and device for the treatment of textile materials
EP1476497A1 (de) * 2002-01-23 2004-11-17 Glasshield Patent Holding Company, Ltd. Verfahren und vorrichtung zum aufbringen von material auf glas
FR2860123B1 (fr) * 2003-09-19 2005-11-11 Cit Alcatel Torche a plasma thermique inductif
JP4896367B2 (ja) * 2003-10-23 2012-03-14 パナソニック株式会社 電子部品の処理方法及び装置
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US7806077B2 (en) * 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
JP2007268252A (ja) * 2006-03-07 2007-10-18 Univ Of Ryukyus 滅菌装置及びそれを用いた滅菌方法
WO2007105411A1 (ja) * 2006-03-07 2007-09-20 University Of The Ryukyus プラズマ発生装置及びそれを用いたプラズマ生成方法
US7601294B2 (en) * 2006-05-02 2009-10-13 Babcock & Wilcox Technical Services Y-12, Llc High volume production of nanostructured materials
US7603963B2 (en) * 2006-05-02 2009-10-20 Babcock & Wilcox Technical Services Y-12, Llc Controlled zone microwave plasma system
WO2008130463A2 (en) * 2007-02-06 2008-10-30 The Trustees Of The University Of Pennsylvania Multiplexed nanoscale electrochemical sensors for multi-analyte detection
EP2009029B1 (de) * 2007-06-28 2012-11-07 Masarykova univerzita Verfahren zur Durchführung von Polyreaktionen, plasmachemische Polyreaktionen, deren Modifizierung und Modifizierung makromolekularer Substanzen mittels Plasmastrahl mit einer hohlkathodenumwickelten Dielektrizitätskapillare
JP2009054359A (ja) * 2007-08-24 2009-03-12 Tohoku Univ プラズマ発生装置およびプラズマ発生方法
JP2011521735A (ja) * 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション プラズマを発生させるためのシステム、方法、および装置
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
WO2009146432A1 (en) * 2008-05-30 2009-12-03 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US20100074810A1 (en) * 2008-09-23 2010-03-25 Sang Hun Lee Plasma generating system having tunable plasma nozzle
US7921804B2 (en) * 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
US20100201272A1 (en) * 2009-02-09 2010-08-12 Sang Hun Lee Plasma generating system having nozzle with electrical biasing
US20100254853A1 (en) * 2009-04-06 2010-10-07 Sang Hun Lee Method of sterilization using plasma generated sterilant gas
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
JP2013529352A (ja) 2010-03-31 2013-07-18 コロラド ステート ユニバーシティー リサーチ ファウンデーション 液体−気体界面プラズマデバイス
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
DE102011076806A1 (de) 2011-05-31 2012-12-06 Leibniz-Institut für Plasmaforschung und Technologie e.V. Vorrichtung und Verfahren zur Erzeugung eines kalten, homogenen Plasmas unter Atmosphärendruckbedingungen
KR101880622B1 (ko) * 2011-12-16 2018-07-24 한국전자통신연구원 플라즈마 젯 어셈블리 및 그를 구비하는 플라즈마 브러시
CZ2012935A3 (cs) 2012-12-19 2014-07-02 Masarykova Univerzita Způsob vytváření plazmatu za atmosférického tlaku ve štěrbinové trysce a zařízení k jeho provádění
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
JP6304645B2 (ja) * 2013-10-06 2018-04-04 国立大学法人名古屋大学 プラズマ発生装置
EP3002273A1 (de) 2014-10-01 2016-04-06 3M Innovative Properties Company Verfahren und Vorrichtung zur Herstellung fluorierter Alkene
CZ307098B6 (cs) * 2014-12-31 2018-01-10 Masarykova Univerzita Způsob přípravy nanovláken s reaktivními látkami elektrospinningem a zařízení k provádění tohoto způsobu a způsob přípravy roztoku/suspenze zvlákňovaného polymeru a zařízení k provádění tohoto způsobu
JP6709005B2 (ja) * 2016-01-25 2020-06-10 国立大学法人金沢大学 成膜装置及びそれを用いた成膜方法
CZ2016790A3 (cs) 2016-12-14 2018-06-27 Masarykova Univezita Způsob vytváření plazmatu v plazmové trysce za atmosférického tlaku a regulace intenzit E a H elektromagnetického pole a přenosu a regulace toku činného výkonu z vysokofrekvenčního zdroje do plazmatu plazmové trysky a zařízení k jeho provádění
CA3014970A1 (en) * 2017-08-18 2019-02-18 Montgomery William Childs Electrode assembly for plasma generation
MX2021004744A (es) * 2018-10-24 2021-08-24 Atmospheric Plasma Solutions Inc Fuente de plasma y método para preparar y recubrir superficies usando ondas de presión de plasma atmosférico.
TWI754245B (zh) 2020-03-17 2022-02-01 國立陽明交通大學 電漿系統及混合電漿與水霧的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5532317A (en) * 1978-08-28 1980-03-07 Asahi Chemical Ind High frequency magnetic field coupling arc plasma reactor
CH653201A5 (en) * 1981-03-18 1985-12-13 Bbc Brown Boveri & Cie Hollow electrode for feeding arc furnaces
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
DE3923662A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5361016A (en) * 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
WO1994014303A1 (en) * 1992-12-09 1994-06-23 Satiko Okazaki Method and apparatus for atmospheric pressure glow discharge plasma treatment
SE501888C2 (sv) * 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
EP0977470A3 (de) * 1994-03-17 2003-11-19 Fuji Electric Co., Ltd. Verfahren und Vorrichtung zur Erzeugung eines induzierten Plasmas
DE19540434C1 (de) * 1995-10-30 1997-06-12 Peter R Perzl Vorrichtung zur Analyse von Werkstoffproben, insbesondere von elektrisch nicht leitfähigen Proben, mittels Hochfrequenz-Glimmentladung
JP2963993B1 (ja) * 1998-07-24 1999-10-18 工業技術院長 超微粒子成膜法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009045200A1 (de) * 2009-09-30 2011-04-21 Inter-Consult Gmbh Verfahren und Vorrichtung zum Bearbeiten von Bauteilen elektrischer Maschinen
DE102009045200B4 (de) * 2009-09-30 2021-02-11 Inter-Consult Gmbh Verfahren und Vorrichtung zum Bearbeiten von Bauteilen elektrischer Maschinen

Also Published As

Publication number Publication date
CZ286310B6 (cs) 2000-03-15
US6525481B1 (en) 2003-02-25
ATE300857T1 (de) 2005-08-15
WO1999059385A1 (en) 1999-11-18
DE69926356D1 (de) 2005-09-01
EP1077021A1 (de) 2001-02-21
EP1077021B1 (de) 2005-07-27
CZ147698A3 (cs) 2000-03-15
JP2002515639A (ja) 2002-05-28

Similar Documents

Publication Publication Date Title
DE69926356T2 (de) Das verfahren zur erzeugung einer physikalisch und chemisch aktiven umgebung durch einen plasmastrahl und plasmastrahl dazu
DE69733350T2 (de) Hochtetraedrische amorphe kohlenstofffilme sowie verfahren und ionenstrahlquelle zur herstellung derselben
DE102006019664B4 (de) Kaltplasma-Handgerät zur Plasma-Behandlung von Oberflächen
EP1053660B1 (de) Vorrichtung zur erzeugung eines freien kalten nicht-thermischen plasmastrahles
DE69514733T2 (de) Koronaquelle zur Erzeugung einer Koronaentladung und Behandlung von fliesfähige Abfallstoffen mit Koronaentladung
EP1767068A2 (de) Vorrichtung zur bearbeitung eines substrates mittels mindestens eines plasma-jets
EP1292176B1 (de) Vorrichtung zum Erzeugen eines Aktivgasstrahls
DE602004007126T2 (de) Vorrichtung und verfahren zur bildung eines plasmas
DE69522826T2 (de) Radiofrequenz-ionenquelle
DE69112166T2 (de) Plasmaquellenvorrichtung für Ionenimplantierung.
DE60021167T2 (de) Vorrichtung zur Erzeugung von Plasma mit hoher Dichte
DE69110547T2 (de) Plasma-CVD-Anlage.
DE102011111884B3 (de) Verfahren und Vorrichtung zur Erzeugung von thermodynamisch kaltem Mikrowellenplasma
EP2425445B1 (de) Verfahren zur erzeugung eines plasmastrahls sowie plasmaquelle
DE3134337A1 (de) Ionenstrahlkanone
DE10120405B4 (de) Vorrichtung zur Erzeugung eines Niedertemperatur-Plasmas
WO1990013681A1 (de) Verfahren zum auftragen von keramischem material
DE3837487A1 (de) Verfahren und vorrichtung zum aetzen von substraten mit einer magnetfeldunterstuetzten niederdruck-entladung
DE4242324A1 (de) Verfahren und Einrichtung zur Behandlung der Innenwand eines Hohlkörpers mittels eines Mikrowellenplasmas
WO2009033577A2 (de) Verfahren und vorrichtung zur auf-, um- oder entladung von aerosolpartikeln durch ionen, insbesondere in einen diffusionsbasierten bipolaren gleichgewichtszustand
DE10320805A1 (de) Vorrichtung zur Bearbeitung von zylindrischen, zumindest eine elektrisch leitende Ader aufweisenden Substraten
DE10358329B4 (de) Vorrichtung zur Erzeugung angeregter und/oder ionisierter Teilchen in einem Plasma und Verfahren zur Erzeugung ionisierter Teilchen
DE19923018C2 (de) Vorrichtung zur Bearbeitung bandförmiger Werkstücke mit Hilfe resonanter Hochfrequenzplasmen
DE112012000015B4 (de) Vorrichtung für die Anregung eines Hochfrequenz-Gasplasmas
CH690389A5 (de) Sattelfeldquelle.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee