DE60315749T2 - MEMS Verpackung auf Waferebene - Google Patents

MEMS Verpackung auf Waferebene Download PDF

Info

Publication number
DE60315749T2
DE60315749T2 DE60315749T DE60315749T DE60315749T2 DE 60315749 T2 DE60315749 T2 DE 60315749T2 DE 60315749 T DE60315749 T DE 60315749T DE 60315749 T DE60315749 T DE 60315749T DE 60315749 T2 DE60315749 T2 DE 60315749T2
Authority
DE
Germany
Prior art keywords
layer
mems
sacrificial material
etching
vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60315749T
Other languages
English (en)
Other versions
DE60315749D1 (de
Inventor
Luc Ouellet
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Teledyne Digital Imaging Inc
Original Assignee
Dalsa Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dalsa Semiconductor Inc filed Critical Dalsa Semiconductor Inc
Publication of DE60315749D1 publication Critical patent/DE60315749D1/de
Application granted granted Critical
Publication of DE60315749T2 publication Critical patent/DE60315749T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00333Aspects relating to packaging of MEMS devices, not covered by groups B81C1/00269 - B81C1/00325
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0136Growing or depositing of a covering layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0145Hermetically sealing an opening in the lid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/162Disposition
    • H01L2924/16235Connecting to a semiconductor or solid-state bodies, i.e. cap-to-chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Micromachines (AREA)
  • Dicing (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

  • Diese Erfindung betrifft mikroelektromechanische Systeme (MEMS) und genauer eine MEMS-Bauelementtechnik auf Waferebene, die prozeßbezogene Mikrokontamination verhindert.
  • Die Herstellung von mikroelektromechanischen Systemen (MEMS), so wie Mikrogyroskopen, Mikrobeschleunigungsmessern, Resonanzbeschleunigungsmessern, Mikrospiegeln, Mikromotoren, Mikroantrieben und anderen derartigen Mikrovorrichtungen für die Automotive-, Photonik-, Informationstechnologie und biomechanische Märkte, bei der wenigstens eine sich bewegende und/oder besondere Komponente integriert wird, ruft eine sehr ernste Herausforderung für das Bilden der Baugruppe hervor, weil:
    Manche auf MEMS basierenden Vorrichtungen erfordern, daß das Verkapseln vor dem Zerschneiden geschieht, damit sie gegen Mikrokontamination aus Teilchen und Schneideschlamm geschützt sind, während sie wie ein standardmäßiger Halbleiterchip bearbeitet werden, ohne das Erfordernis besonderer Ausstattung oder Prozesse für Schneide-, Einbau- und Formprozeduren innerhalb der Reinräume.
  • Änderungen in den atmosphärischen Bedingungen können die Kapazitätsauslesung von Mikrogyroskopen und Mikrobeschleunigungsmessern ohne irgendwelche Wechsel in der Beschleunigung ändern, und da eine erhöhte relative Feuchtigkeit die Haftreibung ihrer sich bewegenden Teile vergrößern kann, ist es notwendig, ihre sich bewegenden und/oder besonderen Komponenten im Vakuum oder in einer kontrollierten Atmosphäre zu verkapseln.
  • Die meisten der auf MEMS basierten Resonanzbeschleunigungsmesser, die meisten auf MEMS basierten HF-Schaltvorrichtungen und andere solche MEMS-Vorrichtungen zeigen eine sehr ernsthafte Verschlechterung im Q-Faktor, wenn sie einem Umgebungsdruck ausgesetzt sind, der 1 Pa übersteigt. Ihre sich bewegenden MEMS-Komponenten erfordern einen Aufbau im Vakuum bis auf einen Restdruck von weniger als 1 Pa, um während ihrer vollständigen veranschlagten Lebensdauer einen zuverlässigen Betrieb sicherzustellen.
  • Um diese Funktionen sicherzustellen, sollten die sich bewegenden und/oder besonderen Komponenten in einem versiegelten Mikrohohlraum unter einem Vakuum von weniger als 1 Pa eingeschlossen werden.
  • STAND DER TECHNIK
  • Ein erstes Beispiel einer geschützten Baugruppe ist in dem US-Patent Nr. 5 589 082 mit dem Titel 'Microelectromechanical signal processor fabrication (Herstellung eines mikroelektromechanischen Signalsprozessors)' (The Regents of the University of California) gegeben.
  • Der Mikrohohlraum, der in dem USA-Patent 5 589 082 beschrieben ist, wird verwendet, um einen mikromechanischen Resonator zu schützen, und wird gebildet, indem eine vakuumdichte Mikroschale aus Siliziumnitrid verwendet wird, hergestellt durch:
    genaues Mikrobearbeiten des mikromechanischen Resonators auf einer bestimmten Herstellungsstufe;
    Abscheiden einer 7.0 μm dicken Phosphosilikat (PSG)-Schicht auf dem mikrobearbeiteten mikromechanischen Resonator;
    Bemustern der 7.0 μm dicken PSG-Schicht mit einer isolierten Insel, welche die sich bewegende und/oder besondere Komponente des mikrobearbeiteten mikromechanischen Resonators bedeckt, und Definieren der Form der Mikroschale;
    Abscheiden einer zusätzlichen 1.0 μm dicken PSG-Schicht;
    Bemustern der seitlichen Ätzkanäle am Randbereich der isolierten Insel;
    Abscheiden eines zusätzlichen 1.0 μm dicken schwach belasteten Siliziumnitrids durch LPCVD;
    Bemustern der seitlichen Ätzlöcher in dem Siliziumnitrid, das sich an dem Randbereich der isolierten Insel befindet;
    Befreien des mikromechanischen Resonators, wobei das Eindringen konzentrierten HFs durch die seitlichen Ätzlöcher verwendet wird, die an dem Randbereich der isolierten Insel gebildet sind, von allem Opfermaterial, das sich unter und über (7.0 μm dicke PSG-Schicht) der sich bewegenden und/oder besonderen Komponente des mikrobearbeiten mikromechanischen Resonators befindet, wobei die durch LPCVD gebildete 1.0 μm dicke Mikroschale aus schwach belastetem Siliziumnitrid unversehrt bleibt;
    Versiegeln der seitlichen Ätzlöcher, die an dem Randbereich der gebildeten Mikroschale gebildet sind, wobei eine 2.0 μm dicke Schicht aus Siliziumnitrid verwendet wird, die über der abhangenden 1.0 μm dicken Mikroschale aus durch LPCVD hergestelltem, schwach belastetem Siliziumnitrid abgeschieden wird, um so eine 3.0 μm dicke Mikroschale aus durch LPCVD hergestelltem, schwach belastetem Siliziumnitrid zu bilden.
  • Wie es in dem Patent in Spalte 11, Zeilen 7–12, angegeben ist, begrenzt das Freisetzen des mikromechanischen Resonators, wobei konzentriertes HF durch die seitlichen Ätzlöcher, die an dem Umfang der Mikroschale aus Silizium gebildet sind, eingesetzt wird, die Größe der Mikrovorrichtung auf ungefähr 500 μm × 500 μm aufgrund:
    unvollständigen Entfernens des Opfermaterials vom Randbereich der Mikroschale;
    Zusammenfallen der 3.0 μm dicken Mikroschale aus mit LPCVD hergestelltem, schwach belastetem Siliziumnitrid unter Einwirkung von atmosphärischem Druck.
  • Ein zweites Beispiel einer geschützten Baugruppe ist in dem USA-Patent 5 668 033 mit dem Titel 'Method for manufacturing a semiconductor acceleration sensor device (Verfahren zum Herstellen eines Halbleiter-Beschleunigungssensorbauteils)' gegeben.
  • Die Baugruppentechnik des USA-Patenten 5 668 033 , die verwendet wird, um die Baugruppenstruktur herzustellen, welche den Beschleunigungssensor abdeckt, verwendet Gold-Silizium (ein Fall, in dem ein Silizium-auf-Isolator-Substrat verwendet wird) oder eine eutektische Bindetechnik mit Gold-Polysilizium.
  • Diese Technik erfordert das Verbinden von zwei Substraten.
  • Ein drittes Beispiel einer geschützten Baugruppe ist in dem USA-Patent 5 783 749 mit dem Titel 'Vibrating disk type microgyroscope (Mikrogyroskop vom Typ vibrierende Scheibe)' (Electronics and Telecommunications Research Institute) gegeben.
  • Die Baugruppentechnik des USA-Patentes 5 783 749 , die eingesetzt wird, um die vakuumdichte Baugruppenstruktur herzustellen, welche das Gyroskop abdeckt, um so einen Druck von 1 mTorr zu halten, damit seine Empfindlichkeit verbessert und Luftdämpfung minimiert wird, verwendet eine unbekannte Bindetechnik.
  • Diese Technik erfordert auch das Verbinden zweier Elemente, d.h. einer versiegelten Struktur und eines Substrates, wie es in Spalte 3, Zeilen 25–31 angegeben ist.
  • Ein viertes Beispiel einer geschützten Baugruppe ist in dem USA-Patent 5 952 572 mit dem Titel 'Angular rate sensor and acceleration sensor (Winkelgeschwindigkeitssensor und Beschleunigungssensor)' (Matsushita Electric Industrial Co., Ltd.) gegeben.
  • Die drei Substrate, die den Winkelgeschwindigkeitssensor bilden, welcher in dem USA-Patent 5 952 552 beschrieben ist, werden als eine Sandwich-Struktur miteinander verbunden, wobei anodisches Bonden verwendet wird, wie es in Spalte 7, Zeilen 36–41 angesprochen ist. Dieses anodische Bonden erfordert, daß das Siliziumsubstrat und die Glassubstrate auf 300–400°C im Vakuum erhitzt werden, während eine negative Spannung von ungefähr 1000 V bezogen auf das Referenzpotential des Siliziumsubstrates an die Glassubstrate angelegt wird. Wie es in Spalte 7, Zeilen 55 – 58 angesprochen ist, wird auch ein nicht flüchtiges Gettermaterial Zr-V-Fe/Ti in den versiegelten Hohlraum integriert, um die Qualität des Vakuums zu erhalten.
  • Diese Technik erfordert auch das Verbinden von zwei Substraten.
  • Ein fünftes Beispiel einer solchen geschützten Baugruppe ist in dem USA-Patent 6 140 144 mit dem Titel 'Method for packaging microsensors (Verfahren zum Aufbauen von Mikrosensoren)' (Integrating Sensing Systems, Inc.) gegeben.
  • Die beiden Substrate, die die Mikrosensoren bilden, welche in dem USA-Patent 6 140 144 beschrieben sind, werden über Flip-Chip-Bonden miteinander verbunden, wobei ein unterfül lendes Material eingesetzt wird, um einen gesteuerten Druck/eine gesteuerte Umgebung um das abfühlende Element zu halten, wie es in Spalte 3, Zeilen 48–50 angesprochen ist.
  • Auch diese Technik erfordert das Verbinden zweier Substrate.
  • Ein sechstes Beispiel einer solchen geschützten Baugruppe ist in dem USA-Patent 6 232 150 mit dem Titel 'Process for making microstructures and microstructures made thereby (Prozeß zum Herstellen von Mikrostrukturen und danach hergestellte Mikrostrukturen)' (The Regents of the University of Michigan) gegeben.
  • Die beiden Substrate, die die Mikrostrukturen bilden, welche in dem USA-Patent 6 232 150 beschrieben sind, werden miteinander verbunden, indem ein lokalisiertes Mikroheiz-Flip-Chip-Bonden verwendet wird, wobei ein Bond-Material und ein auf Metall basierender lokalisierter Widerstandsmikroheizer verwendet werden, der in der Lage ist, lokal das Bond-Material zu erhitzen, um so das Verbinden der beiden Substrate hervorzurufen, wie es in Spalte 4, Zeilen 25–35 angesprochen ist.
  • Diese Technik erfordert auch das Verbinden zweier Substrate.
  • Ein siebtes Beispiel einer solchen geschützten Baugruppe ist in dem USA-Patent 6 265 246 mit dem Titel 'Microcap wafer-level package (Baugruppe mit Mikrokappe auf Waferebene)' (Agilent Technologies, Inc.) gegeben.
  • Der Basiswafer, in den eine Mikrovorrichtung integriert ist, wie es in dem USA-Patent 6 265 246 beschrieben ist, wird mit einem passenden Kappenwafer verbunden, wobei Kaltschweißen der Bondinsel-Dichtungen des Kappenwafers mit dem Umfang der Bondinseln des Basiswafers, in welchen die Mikrovorrichtung integriert ist, verwendet wird. Die Anordnung gewährleistet eine hermetische Abdichtung der Baugruppe auf Waferebene und der elektrischen Verbindungen mit der Mikrovorrichtung, ohne daß sie durch eine Dichtung laufen.
  • Diese Technik erfordert auch das Verbinden zweier Substrate.
  • Ein achtes Beispiel einer solchen geschützten Baugruppe ist in dem USA-Patent 6 297 072 mit dem Titel 'Formation of a microstructure having an internal cavity (Bildung einer Mikro struktur mit einem inneren Hohlraum)' (Interuniversitair Micro-Electronika Centrum, IMEC, VZW) gegeben.
  • Ein erster Chip, der sich auf einem ersten Substrat befindet, abgedeckt mit einer Antimon-Kupfer- oder Antimon-Nickel/Gold-Metallisierungskeimschicht, welche eine stabile intermetallische Verbindung mit einem ausgewählten Lötmittel bildet, wird mit einem zweiten Chip verbunden, der sich auf einem zweiten Substrat befindet, das ebenso mit einer Antimon-Kupfer- oder Antimon-Nickel/Gold-Metallisierungskeimschicht bedeckt ist, über einen mit Antimon-Blei-, einen Antimon-Blei-Silber-, einen Indium-, einen Gold-Antimon-, einen Antimon-Silber-, einen Antimon-Silber-Kupfer- oder einen Antimon-Wismut-elektroplattierten Lötring, der bei 200–350°C zum Fließen zu bringen ist, um so ein eingeschlossenes Vakuum oder einen kontrollierten umgebenden Hohlraum zu erzeugen.
  • Diese Technik erfordert auch das Verbinden zweier Substrate.
  • Ein neuntes Beispiel einer solchen geschützen Baugruppe ist in dem USA-Patent 6 335 224 mit dem Titel 'Protection of microelectronic devices during packaging (Schutz von mikroelektronischen Vorrichtungen während der Baugruppenbildung)' (Sandia Corporation) gegeben.
  • Ein freigesetztes MEMS-Element wird durch eine wasserunlösliche, vakuumdampfabgeschiedene, formentsprechende und trockenätzbare zeitweilige Schutzschicht, so wie Parylen, während des Schneidens geschützt, was seinen Schutz gegen Mikrokontamination erlaubt. Diese Schutzschicht wird später entfernt, indem ein Sauerstoffplasma verwendet wird, wenn das geschnittene Substrat der MEMS- oder IMEMS-Vorrichtung an die Baugruppe gebunden wird und wenn die Bondinseln der MEMS-Vorrichtung elektrisch mit den externen elektrischen Leitungen mit Bonddrähten verbunden werden. Anschließend an das Entfernen der Schutzschicht wird ein Deckel, der ein optionales optisches Fenster umfaßt, an die Baugruppe gebunden, der das freiliegende MEMS-Element schützt.
  • Diese Technik erfordert während der Herstellung der Baugruppe, unmittelbar vor dem Anbinden des Deckels eine zeitweilige Schutzbeschichtung, die unter Verwendung eines Sauerstoffplasmas entfernt werden muß,.
  • Das USA-Patent 5 937 275 mit dem Titel 'Method of producing acceleration sensors (Verfahren zum Herstellen von Beschleunigungssensoren)' (2.10.1. Robert Bosch GmbH) beansprucht ein Verfahren zum Erzeugen von Sensoren, insbesondere Beschleunigungssensoren, bei dem auf einem Substrat (1) mit einer Opferschicht (2) in einem epitaxialen Auftragssystem eine Siliziumschicht (4) abgeschieden wird, die über der Opferschicht (2) als eine Polysiliziumschicht (6) abgeschieden wird, wobei eine erste Photoresistschicht (7) auf die Polysiliziumschicht (6) aufgetragen und durch optische Verfahren als eine Ätzmaske strukturiert wird, und Strukturen (8) in die Polysiliziumschicht (6) durch die Ätzmaske eingeführt werden, wobei sich die Strukturen von der Oberseite der Polysiliziumschicht (6) so weit wie die Opferschicht (2) erstrecken, wobei eine Opferschicht (2) von unterhalb der Strukturen (8) entfernt wird, dadurch gekennzeichnet, daß die Oberfläche der Polysiliziumschicht (6) in einem Glättungsprozeß nachbehandelt wird, bevor die erste Photoresistschicht (7) aufgetragen wird. Unter anderem decken die weiteren Ansprüche ab: die Verwendung eines Photoresist-Plasma-Rückätz-Planarisierprozesses für die Polysiliziumschicht, einen chemisch-mechanischen Polierprozeß der Polysiliziumschicht, eine Polysilizium-Starterschicht unter der Polysiliziumschicht, eine Polysiliziumschicht über der Opferschicht gleichzeitig mit einem epitaxialen Wachstum über den Bereichen, in denen keine Opferschicht vorgesehen ist.
  • Dieses erste Patent beschreibt nicht den schützenden Hohlraum, den die Robert Bosch GmbH in der Praxis um die sich bewegende und/oder besondere Komponente der MEMS-Vorrichtung benutzt.
  • 1 zeigt ein Beispiel der Oberflächen-Mikrobehandlung, die bei der Robert Bosch GmbH durchgeführt wird, wie es auf der Website der Europractice berichtet wird:
    http://www.europractice.bosch.com/en/download/customer_support.pdf
  • Diese 1 zeigt, daß ein 380 μm dicker Kappenwafer verwendet wird, um einen 75 μm hohen Schutzhohlraum über den 10.3 μm dicken, freiliegenden Polysiliziumstrukturen zu bilden.
  • Einige Einzelheiten dieses Oberflächen-Mikrobehandlungsprozesses sind auch in der folgenden Referenz des Standes der Technik verfügbar:
    • M. Furtsch, M. Offenberg, H. Muenzel, J.R. Morante 'Comprehensive study of processing Parameters influencing the stress and stress gradient of thick polysilicon layer (Umfassende Studie der Prozeßparameter, die die Belastung und den Belastungsgradienten dicker Polysiliziumschichten beeinflussen)', SPIE Conference Proceedings 'Micromachining and microfabrication process technology 111, conference Proceedings', SPIE Band 3223, Seiten 130–141, Austin Texas, 29.–30. September 1997.
  • Diese Referenz des Standes der Technik von Furtsch gibt an, daß:
    das Startmaterial ein 150 mm dicker Siliziumwafer (100) vom N-Typ mit einem spezifischen elektrischen Widerstand von 1–2 Ohm·cm ist;
    das 2.5 um dicke Inseloxid thermisch auf dem Substrat gewachsen ist;
    die 0.45 μm dicke Polysiliziumschicht auf der Oberfläche abgeschieden wird, indem ein standardmäßiger chemischer Gasphasenabscheideprozeß bei niedrigem Druck (LPCVD – Low Pressure Chemical Vapor Deposition) bei 630°C verwendet wird;
    die 0.45 μm dicke Polysiliziumschicht auf der Oberfläche mit Antimon implantiert und bei 1000°C in einer Sauerstoffumgebung geglüht wird, um das Dotiermittel Sb zu treiben und zu aktivieren.
  • Es gibt keine Angabe über die Herstellungstechnik des 1.6 um dicken Opferoxids.
  • Die 10.3 μm dicke strukturelle Schicht aus ISDP (in-situ dotiertem Polysilizium) wird als eine 11.8 μm dicke Schicht mit einer Geschwindigkeit von 3.5 μm/Minute und bei einer Temperatur von 1180°C in einem Einzelwafer-Epitaxiereaktor ASM Epsilon One Model-E2 abgeschieden, wobei ein standardmäßiger Prozeß mit Trichlorsilan (SiHCl3), Wasserstoff (H2) und Phosphin (PH3) verwendet wird. Die sich ergebende, 11.8 μm dicke strukturelle Schicht aus ISDP hat eine mittlere Oberflächenrauhigkeit (Ra) von 260 nm, die für die weitere Bearbeitung nicht akzeptabel ist.
  • Ein chemisch-mechanisches Polieren (CMP) wird dann verwendet, um die Dicke des strukturellen ISDP auf 10.3 μm und seine Oberflächenrauhigkeit auf ungefähr 5 nm zu verringern.
  • Die Silizium-Einkristalle, die epitaxial über den Siliziumsubstratbereichen wachsen, welche sich durch die 2.5 μm dicken Inseloxidfenster öffnen, haben einen Flächenwiderstand von 2 kΩ/sq. Da die polykristalline Struktur wie gewachsen höher ist als 100 MΩ/sq., wird auch ein Dotieren mit POC13 bei 900°C auch durchgeführt, was zu dem Wachstum eines 30 nm dicken Phosphorglases (PSG) auf der Oberseite des strukturellen ISDP führt. Diese dünne gewachsene PSG-Schicht wird entfernt, indem eine standardmäßige HF-Ätzlösung verwendet wird. Ein Schutzoxid wird bei 900°C aufgebaut, um das Ausdiffundieren des Phosphors während des folgenden 7 Stunden langen Eintreibens von P-Dotiermittel in einer Stickstoffumgebung bei 1000°C zu verhindern. Das Schutzoxid wird dann entfernt.
  • Die tiefen Silizium-Ätzmuster werden erzeugt, indem die Technik verwendet wird, die in der folgenden Arbeit des Standes der Technik beschrieben ist:
    • M. Offenberg, F. Larmer, B. Elsner, H. Munzel und W. Riethmuller, 'Novel process for a monolithic integrated accelerometer (Neuer Prozeß für einen monolithischen integrierten Beschleunigungsmesser)', Digest of technical papers: Transducers '95 – Eurosensors IX, Band 1, Seiten 589–592, Stockholm, 1995.
  • Das Freisetzen der strukturellen Komponenten aus ISDP geschieht, indem eine HF-Dampftechnik verwendet wird, die in der folgenden Arbeit des Standes der Technik beschrieben wird, wobei das Anhaften der Strukturen verhindert werden soll:
    • M. Offenberg, B. Elsner und F. Larmer, 'HF vapor etching for sacrificial oxide removal in surface micromachining (HF-Dampfätzen für das Beseitigen von Opferoxid bei der Oberflächenmikrobehandlung)', Extended Abstracts Electrochem. Soc. Fall Meeting, Band 94-2, Seiten 1056–1057, Miami Beach, 1994.
  • Es gibt keine weiteren Einzelheiten über die 1.3 μm dicke metallische Schicht, über den 380 μm dicken Kappenwafer oder über den 75 μm tiefen Hohlraum.
  • Einige Einzelheiten dieses Oberflächen-Mikrobearbeitungsprozesses sind auch in der folgenden genannten Referenz des Standes der Technik verfügbar:
    http://www.imec.be/SUMICAP/Welcome.html#who
  • Bosch benutzt gegenwärtig eine Glasfritte, um den Kappenwafer an das Substrat zu binden, um für eine hermetische Dichtung zu sorgen. 2 zeigt ein Beispiel einer Kappenwafer-Glasfritte, die an das Oberflächen-mikrobehandelte Gyroskop gebunden ist, welches bei der Robert Bosch GmbH erzeugt wird.
  • Das SUMICAP (SUrface Micromachined enCAPsulation an wafer-level)-Projekt, das von IMEC, Bosch und STS im Rahmen des Information Societies Technology (IST)-Programms der Europäischen Kommission (Vertragsnummer IST-1999-10620) zwischen Januar 2000 und Dezember 2002 durchgeführt wurde, beabsichtigt, eine Einkapselungstechnik auf Waferebene für MEMS zu entwickeln, wobei Oberflächen-mikrobehandelte Membranen über der Vorrichtung verwendet werden, die in einem Vakuum (unter 100 Pa) oder in einer kontrollierten Atmosphäre eingekapselt werden muß. Diese monolithische Baugruppenbildungstechnik auf Waferebene:
    sollte in der Lage sein, MEMS-Vorrichtungen abzudecken, die 1 mm mal 1 mm groß sind, mit hohen Aspektverhältnisschnitten (1:5);
    sollte standardmäßiges Kunststofformen überleben;
    sollte weniger Chipfläche und Material verwenden als der gegenwärtige kappenbildende Prozeß;
    sollte für eine 50 %ige Verringerung der gesamten Kosten des Chips sorgen;
    sollte ein Vakuum in dem Hohlraum unterhalb von 100 Pa erlauben.
  • Das Projekt, das von der IMEC verwaltet wurde, umfaßte die folgenden Technologieschritte: Abscheiden der Opferoxidschicht, Abscheiden der Membranschicht, Ätzen des Opferoxides, wobei standardmäßiges STS-Gerät verwendet wurde, Abscheiden der dichtenden Schicht und der Verbindungen. Das erwartete Ergebnis ist eine Oberflächen-mikrobehandelte Verkapselung auf Waferebene in einer Kunststofform eines Beschleunigungsmesser-Demonstrationsgerätes, das optimiert wurde, indem die extensiven Simulationen von Bosch verwendet wurden, um über die erforderliche Dicke und Belastung der Membran und der dichtenden Schicht, die Anzahl der Stützen und die optimalen Sensorgestaltung zu entscheiden.
  • Die Durchsicht des genannten Standes der Technik zeigt an, daß es ein Erfordernis gibt, die Baugruppentechniken von MEMS-Vorrichtungen zu verbessern, um höhere Ausbeuten, höhere Güte und verbesserte Zuverlässigkeit sicherzustellen.
  • Die meisten Arbeiten des genannten Standes der Technik beschreiben die Notwendigkeit, mehrere Substrate miteinander zu verbinden, um den erforderlichen Schutzhohlraum um die sich bewegende und/oder besondere Komponente der MEMS-Vorrichtung zu erhalten:
    • USA-Patent 5 668 033 mit dem Titel 'Method for manufacturing a semiconductor acceleration sensor device (Verfahren zum Herstellen einer Halbleiter-Beschleunigungssensorvorrichtung)'(2).
    • USA-Patent 5 783 749 mit dem Titel 'Vibrating disk type micro-gyroscope (Mikrogyroskop vom Typ vibrierende Scheibe)' (3).
    • USA-patent 5 952 572 mit dem Titel 'Angular rate sensor and acceleration sensor (Winkelgeschwindigkeitssensor und Beschleunigungssensor)' (4).
    • USA-Patent 6 140 144 mit dem Titel 'Method for packaging microsensors (Verfahren zum Aufbauen von Mikrosensoren)' (5).
    • USA-Patent 6 232 150 mit dem Titel 'Process for making microstructures and microstructures made thereby (Prozeß zum Herstellen von Mikrostrukturen und danach hergestellte Mikrostrukturen)' (6).
    • USA-Patent 6 265 246 mit dem Titel 'Microcap wafer-level package (Baugruppe mit Mikrokappe auf Waferebene)' (7).
    • USA-Patent 6 297 072 mit dem Titel 'Formation of a microstructure having an internal cavity (Bilden einer Mikrostruktur mit einem internen Hohlraum)' (8).
    • http://www.europractice.bosch.com/en/download/customer_support.pdf (11).
    • M. Furtsch, M. Offenberg, H. Muenzel, J.R. Morante 'Comprehensive study of processing Parameters influencing the stress and stress gradient of thick polysilicon layer (Umfassende Studie der Prozeßparameter, die die Belastung und den Belastungsgradienten dicker Polysiliziumschichten beeinflussen)', SPIE Conference Proceedings 'Micromachining and microfabrication process technology III, conference Proceedings', SPIE Band 3223, Seiten 130–141, Austin Texas, 29.–30. September 1997 (11).
  • Die gegenwärtig verfügbare Technik des Verbindens mehrerer Substrate, um die schützenden Hohlräume zu erhalten, ruft die zuvor angesprochenen Kosten und die Beschränkungen in Ausbeute, Güte und Zuverlässigkeit hervor.
  • Die folgende Referenz:
    http://www.sensorsmag.com/articles/1298/sil1298/main.shtml
    wurde verwendet, um die folgende zusammenfassende Tabelle der hauptsächlichen Bindeoptionen für diese mehreren Substrate zu erzeugen, die sind: anodisches Bonden, Glasfritten-Bonden und direktes Wafer-Ronden.
    Bond-Technik Erforderliche Bond-Temp. (°C) Erforderlicher aufgebrachter Druck (Pa) Präzise Spalten Zum hermetischen Abdichten in der Lage Erreichbarer Vakuumwert (Pa)
    Anodisch 300–500 n.a. 100–1000 20 Ja Ja 0.001
    Glasfritte 400–500 100,000 n.a. n.a. Nein Ja 1300
    DWB 1000 n.a. n.a. 0.5 Ja Ja 0.1
  • Es wird aus der obigen Tabelle deutlich, daß die Technik des direkten Waferbondens (DWB) nicht geeignet ist, da das Bonden des schützenden Hohlraums nach dem Metallisierungsschrift durchgeführt wird und die erforderlichen 1000°C einfach damit nicht verträglich sind.
  • Es wird auch deutlich, daß auch das Glasfritten-Bonden für die meisten Anwendungen nicht befriedigend ist, da das schlechte erzielbare Vakuum mit 1300 Pa um Größenordnungen höher als der Vakuumwert von 1 Pa ist, was den Q-Faktor der meisten Mikrogyrometer, der meisten Mikrobeschleunigungsmesser, der meisten differentiellen Resonanzbeschleunigungsmesser und vieler anderer auf MEMS basierender Vorrichtungen verschlechtert, die ein Vakuum besser als 1 Pa erfordern.
  • Die Technik des anodischen Bondens ist dann die geeignetste. Sie vertraut auf der Ladungswanderung, um den Siliziumwafer an eine Glasabdeckung zu binden, die einen hohen Gehalt an Alkalimetallen enthält, so wie Pyrex-Borsilikatglas, das ungefähr 3.5 % Natriumoxid (Na2O) enthält. Die positiven Ionen (Na+) des Glases werden von einem stark negativen Potential angezogen, das an das Glas angelegt wird, wo sie neutralisiert werden. Ein solches Entfernen von Na+-Ionen erlaubt das Bilden einer Raumladung in der Grenzfläche Glas-Silizium, was eine starke elektrostatische Anziehung zwischen dem Siliziumwafer und der Glasabdeckung erzeugt, die beide Stücke fest an ihrem Ort hält. Das Binden wird bei Temperaturen von bis zu 500°C durchgeführt, was die Beweglichkeit der positiven Ionen (Na+) erhöht. Weiterhin, getrieben durch das vorliegende elektrische Feld, wird Sauerstoff aus dem Glas zu der Grenzfläche Glas-Silizium transportiert, wo er sich mit Silizium kombiniert, um SiO2 u bilden, was eine dauerhafte Bindung erzeugt. Es ist berichtet worden, daß diese Technik gleichförmige Verbindungen erzeugt; jedoch macht das Vorliegen von Ladungsträgern diese Verbindung im allgemeinen nicht mit aktiven Vorrichtungen kompatibel.
  • Bei einer erhöhten Nachfrage nach CMOS-integrierten MEMS-Vorrichtungen zu geringeren Kosten in kostenempfindlichen Märkten, so wie dem Automobilbereich, gibt es eine klare Notwendigkeit, das Verwenden des anodischen Bondens oder anderer Verbindungstechniken für mehrere Substrate zu vermeiden; eine kostengünstige, einfache, mit CMOS kompatible Technik zum Bilden von Hohlräumen ist gefordert.
  • Bei einer verstärkten Vervollkommnung der MEMS-Vorrichtungen, von einfachen industriellen Drucksensoren zu komplexeren Mikrogyroskopen für die Kraftfahrzeug/Luftfahrtindustrie oder photonischen Mikrospiegeln, gibt es ein ernsthaftes Bedürfnis nach höheren Ausbeuten und Baugruppen höherer Güte, die in der Lage sind, ein Vakuum von 1 Pa in der Umgebung der sich bewegenden und/oder besonderen Komponente bei dieser höheren Güte und verbesserter Zuverlässigkeit sicherzustellen.
  • Das beschriebene SUMICAP-Projekt von IMEC-Bosch-STS, das innerhalb des IST-Programmes der Europäischen Kommission bis zum September 2002 weiterläuft und dazu gedacht ist, eine Verkapselungstechnik auf Waferebene für MEMS zu entwickeln, ist ein deutlicher Hinweis auf das hauptsächliche technologische Bedürfnis nach den Baugruppen großer Größe (mehr als 1 mm2) für die MEMS-Vorrichtungen beim standardmäßigen Kunststofformen, wobei Oberflächen-mikrobearbeitete Membranen über MEMS-Vorrichtungen verwendet werden, die auf unter 100 Pa verkapselt werden müssen, bei verringerten Gesamtkosten des Chips von 50 %.
  • Das Abscheiden der Opferoxidschicht nach Bosch, das Abscheiden der Membranschicht nach Bosch, das Ätzen des Opferoxids mit Geräten der STS, das Abscheiden der dichtenden Schicht nach Bosch und der Verbindungen nach Bosch wird möglicherweise bald zur Vorführung eines Beschleunigungsmesser-Demonstrationsgerätes mit der bis 100 Pa vakuumdichten Oberflächen-mikrobehandelten Verkapselung auf Waferebene in einer Kunststofform führen, das optimiert wurde, indem die extensiven Simulationen von Bosch verwendet wurden. Dieses Güteziel von 100 Pa wird noch einschränkend für die Mikrogyroskope, Mikrobeschleunigungsmesser, differentiellen Resonanzbeschleunigungsmessern und den anderen auf MEMS-basierenden Vorrichtungen hoher Güte (mit hohem Q-Faktor) sein, die einen Restvakuumwert besser als 1 Pa erfordern.
  • Das US-Patent Nr. 5 188 983 offenbart ein Verfahren zum Herstellen einer integrierten Schutzkappe auf Waferebene für eine MEMS-Vorrichtung, die zwei Opfermaterialschichten und eine einkapselnde Schale aus Polysilizium benutzt.
  • Das DE-Patent Nr. 199 61 578 offenbart HF-Dampfätzen.
  • Das US-Patent Nr. 5 963 788 offenbart ein Verfahren zum Herstellen von MEM-Vorrichtungen, wobei Opfermaterial verwendet wird, das die MEM-Vorrichtung einkapselt.
  • Die JP 2001/085636 offenbart eine Kapselungsstruktur, bei der die Opferschichten entfernt werden und eine dichtende Schicht über der gekapselten Struktur abgeschieden wird.
  • Gemäß der vorliegenden Erfindung wird ein Verfahren zur Herstellung einer integrierten Schutzkappe auf Waferebene für eine mikroelektromechanische System (MEMS)-Vorrichtung zur Verfügung gestellt, das aufweist: Aufbringen und Strukturieren einer Bondinsel-Oxidschicht auf einem Siliziumsubstrat; Aufbringen und Strukturieren einer Polysiliziumschicht auf der Bondinsel-Oxidschicht und Siliziumsubstraten; Aufbringen und Strukturieren einer ersten Schicht eines Opfermaterials; Aufbringen und Strukturieren eines strukturierten in situ dotierten Polysiliziums (ISDP) auf der ersten Opfermaterialschicht; Strukturieren und Tiefätzen des ISDP; Aufbringen und Strukturieren der zweiten Opfermaterialschicht; Aufbringen einer Kapselungsstruktur auf die strukturierte zweite Opfermaterialschicht; Strukturieren der Kapselungsstruktur; selektives Entfernen der ersten und der zweiten Opfermaterialschicht, um das MEMS zu erzeugen; und Aufbringen einer Versiegelungsschicht auf die gekapselte Struktur unter Vakuum.
  • Es wird auch ein MEMS zur Verfügung gestellt, das gemäß den obigen Verfahren hergestellt ist.
  • Die vorliegende Anmeldung liefert eine wettbewerbsfähige, einfache, mit eine einzelnen Substrat arbeitende Baugruppentechnik auf Waferebene, die in der Lage ist, den vakuumdichten schützenden Hohlraum um die sich bewegende und/oder besondere Komponenten dieser MEMS-Vorichtungen mit höherer Güte und verbesserter Zuverlässigkeit über lange Zeit zu erzeugen. Diese einfache Technik nutzt übliche Halbleitermaterialien, Techniken und Geräte, um für eine stabile Vakuumumgebung von weniger als 1 Pa in dem abgedichteten Hohlraum zu sorgen. Diese Umgebung schützt die sich bewegende und/oder besondere Komponente der MEMS-Vorrichtung gegen Mikrokontamination von den Teilchen und dem Schlamm des Waferschneideprozesses, vor Fluktuationen der atmosphärischen Bedingungen, sowie atmosphärischem Druck und relativer Feuchtigkeit, um so eine Zuverlässigkeit über lange Zeit sicherzustellen, indem die sich bewegende und/oder besondere Komponente geschützt wird.
  • Die Erfindung wird nun in weiteren Einzelheiten mit Bezug auf die angefügten Zeichnungen beschrieben, in denen:
  • 1 ein mikrobearbeitetes Oberflächenprofil gemäß dem Stand der Technik veranschaulicht;
  • 2 eine Kappenwafer-Glasfritte veranschaulicht, die an die Oberfläche eines mikrobearbeiteten Gyroskops gemäß dem Stand der Technik gebunden ist;
  • 3 einen typischen Prozeßablauf der Oberflächen-Mikrobehandlung veranschaulicht;
  • 4a) bis 4t) die integrierten Prozeßschritte der vorliegenden Erfindung veranschaulichen und
  • 5 eine Abschätzung der Amplitude der vertikalen Bewegung der Verkapselungsstruktur veranschaulicht, wobei der Bulge-Testmodus verwendet wird.
  • 3 zeigt einen typischen Prozeß der Mikrobehandlung einer Oberfläche, so wie dem, der bei der Robert Bosch GmbH durchgeführt ist, wie er in den folgenden genannten Referenzen des Standes der Technik beschrieben ist:
    • M. Offenberg, B. Elsner und F. Larmer, 'HF vapor etching for sacrificial oxide removal in surface micromachining (HF-Dampfätzen für das Beseitigen von Opferoxid bei der Oberflächenmikrobehandlung)', Extended Abstracts Electrochem. Soc. Fall Meeting, Band 94-2, Seiten 1056–1057, Miami Beach, 1994.
    • M. Offenberg, F. Larmer, B. Elsner, H. Munzel und W. Riethmuller, 'Novel process for a monolithic integrated accelerometer (Neuer Prozeß für einen monolithischen integrierten Beschleunigungsmesser)', Digest of technical papers: Transducers '95 – Eurosensors IX, Band 1, Seiten 589–592, Stockholm, 1995.
    • M. Furtsch, M. Offenberg, H. Muenzel, J.R. Morante 'Comprehensive study of processing Parameters influencing the stress and stress gradient of thick polysilicon layer (Umfassende Studie der Prozeßparameter, die die Belastung und den Belastungsgradienten dicker Polysiliziumschichten beeinflussen)', SPIE Conference Proceedings 'Micromachining and microfabrication process technology III, conference Proceedings', SPIE Band 3223, Seiten 130–141, Austin Texas, 29.–30. September 1997.
  • Der Prozeß, der in 3 beschrieben ist, zeigt die Hauptschritte, die beim Freisetzen strukturellen ISDPs umfaßt sind, welches die sich bewegenden Komponenten der auf MEMS basierten Mikrosensoren oder Mikroantriebe bildet. Diese Schritte umfassen:
    Auswahl eines 150 mm dicken Siliziumwafers (100) vom N-Typ mit spezifischem elektrischen Widerstand von 1–2 Ω·cm;
    Thermisches Wachstum von 2.5 μm dickem Inseloxid, gefolgt von Bemusterung;
    LPCVD bei 630°C für 0.45 μm dickes Oberflächen-Polysilizium, gefolgt von Implantieren von Antimon, von Glühen in O2 bei 1000°C und von Strukturieren;
    Abscheiden von 1.6 μm dickem Opferoxid;
    Abscheiden von 11.8 μm strukturellem ISDP mit einer Geschwindigkeit von 3.5 μm/Mminute und bei einer Temperatur von 1180°C in einem ASM Epsilon One Model-E2, wobei SiHCl3-, H2- und PH3-Gase eingesetzt werden, gefolgt von einem CMP von 1.5 μm, um die Dicke des strukturellen ISDP auf 10.3 μm zu verringern, gefolgt von einer Dotierung mit POC13 bei 900°C, gefolgt von dem Entfernen des gewachsenen 30 nm dicken PSG mit HF-Lösung, gefolgt von dem Wachstum, bei 900°C, eines Schutzoxides, gefolgt von einem Eintreiben von P-Dotiermittel in N2 bei einer Temperatur von 1000°C über 7 Stunden Dauer und schließlich gefolgt von dem Entfernen des Schutzoxids;
    Abscheiden und Strukturieren einer 1.3 μm dicken metallischen Schicht;
    Tiefenätzen des Siliziums des strukturellen ISDP, wobei die Technik verwendet wird, die in der folgenden Referenz beschrieben ist:
    • M. Offenberg, F. Larmer, B. Elsner, H. Munzel und W. Riethmuller, 'Novel process for a monolithic integrated accelerometer (Neuer Prozeß für einen monolithischen integrierten Beschleunigungsmesser)', Digest of technical papers: Transducers '95 – Eurosensors IX, Band 1, Seiten 589–592, Stockholm, 1995.
  • Freisetzen der strukturellen ISDP-Komponenten mit HF-Dampf, wobei die Technik verwendet wird, die in der folgenden Referenz beschrieben ist:
    • M. Offenberg, B. Elsner und F. Larmer, 'HF vapor etching for sacrificial oxide removal in surface micromachining (HF-Dampfätzen für das Beseitigen von Opferoxid bei der Oberflächenmikrobehandlung)', Extended Abstracts Electrochem. Soc. Fall Meeting, Band 94-2, Seiten 1056–1057, Miami Beach, 1994.
  • Das Ergebnis ist ein freigelegtes MEMS, das bereit ist, an seinen schützenden Kappenwafer gebunden zu werden, wie es in 2 gezeigt ist. Wie angesprochen nutzt die Robert Bosch GmbH gegenwärtig Glasfritte, um den Kappenwafer an das Substrat zu binden, um für eine hermetische Dichtung zu sorgen. Es wird aus der vorangehenden Tabelle deutlich, daß der sich ergebende Vakuumwert nicht angemessen ist, da er auf ungefähr 1300 Pa beschränkt ist.
  • Gemäß einer Ausführungsform der Erfindung ist der verbesserte Oberflächen-Mikrobehandlungsprozeß, der zu der Herstellung einer integrierten Schutzkappe der Erfindung auf Waferebene führt, in den 4a bis 4t gezeigt. Einige der Anfangsschritte sind ähnlich wie beim Stand der Technik.
  • Schritt a) zeigt das Siliziumsubstrat;
  • Schritt b) zeigt das Wachstum des Bondinseloxids auf eine Dicke von ungefähr zwischen 0.5–5.0 μm und bevorzugt 2.5 μm;
  • Schritt c) zeigt die Bemusterung des Bondinseloxids um zu ermöglichen, daß einige Kontakte mit dem Substrat gebildet werden können;
  • Schritt d) zeigt das Abscheiden von Polysilizium auf der Oberfläche auf eine Dicke zwischen 0.25–1.0 μm und bevorzugt 0.45 μm und auch durch LPCVD bei einer Temperatur zwischen 610–650°C und bevorzugt 650°C und gefolgt von einem Implantieren von Antimon und von einem Temperaturglühen bei einer Temperatur zwischen 950–1150°C und bevorzugt 1050°C in einer Umgebung aus Stickstoff, Sauerstoff oder Kombinationen aus Stickstoff und Sauerstoff;
  • Schritt e) zeigt das Strukturieren der Polysiliziumoberfläche, wobei standardmäßige Photolitographietechniken verwendet werden;
  • Schritt f) zeigt das Abscheiden des unteren Opfermaterials. Dieses Material muß eine sehr niedrige Ätzrate haben, wenn es dem HF-Dampf ausgesetzt wird. Die folgende Referenz des Standes der Technik wird verwendet, um die folgenden Ätzraten zu erzeugen, welche bei einem kommerziellen Gemetec-Reaktor erreicht werden, der bei 35°C arbeitet:
    • A. Witvrouw, B. Du Bois, P. De Moor, A. Verbist, C. Van Hoof, H. Bender, K. Baert, "A comparison between wet HF etching and vapor HF etching for sacrificial oxide removal (Ein Vergleich zwischen nassem HF-Ätzen und Dampf-HF-Ätzen für das Entfernen von Opferoxid)', Proceedings of SPIE, Micromachining and microfabrication process technology VI, SPIE Band 4174, Seiten 131–142, Santa Clara, USA, 18.–20. September 2000.
    Material Geglühtes PSG TEOS Geglühtes TOS Thermisches Oxid Titan Titannitrid Al-Cu-Legierungen
    Ätzrate bei 35°C (nm/min) 290 ± 20 220 ± 40 100 ± 10 15 ± 1 0.19 ± 0.02 0.06 ± 0.02 0.03
    Relative Ätzrate bei 35°C 19 15 6.7 1 0.01 0.004 0.002
  • Die obige Tabelle zeigt, daß eine geglühte TEOS-Schicht (mit oder ohne eine sehr dünne Ätzbegrenzungsschicht aus TiN, die auf ihrer Oberfläche abgeschieden ist) ein guter Kandidat für das untere Opfermaterial zu sein scheint. Die verschiedenen Kandidaten für die untere Opferschicht umfassen geglühtes TEDS, geglühtes ASG, geglühtes SiO2, Titannitrid oder Kombinationen aus diesen.
  • Schritt g) zeigt die Strukturierung des unteren Opfermaterials, wobei standardmäßige Photolitographietechniken verwendet werden;
  • Schritt h) zeigt das Abscheiden des strukturellen ISDP aus Silan, Dichlorsilan, SiH2Cl2, oder Trichlorsilan, SiHCl3, bevorzugt aus Trichlorsilan, wobei eine in situ-Quelle für das Dotieren während des Abscheidens verwendet wird, bevorzugt Phosphin, PH3, wobei ein Trägergas, so wie Wasserstoff, verwendet wird und eine Bearbeitung nach dem Abscheiden, die ein zusätzliches Dotieren umfassen kann, wobei POC13-Dotieren, N-Typ Spin-On-Dotieren und/oder N-Typ-Implantation verwendet wird, um ein strukturelles ISDP zu erhalten, das die folgenden Eigenschaften hat:
    Mechanische Belastung kleiner als 30 MPa und bevorzugt kleiner als 10 MPa, Belastungsgradient geringer als 3 MPa/μm und bevorzugt geringer als 1 MPa/μm; Flächen widerstand auf Öffnungen gegenüber darunterliegendem Einkristallsubstrat zwischen 0.5–5.0 k Ohm/sq, bevorzugt 2.0 k Ohm/sq;
    Flächenwiderstand auf den Öffnungen der Bereiche mit unterem Opferoxid zwischen 0.5–50.0 k Ohm/sq, bevorzugt geringer als 20.0 k Ohm/sq.
  • Schritt i) zeigt das Abscheiden eines 0.05–0.50 μm dicken, bevorzugt 0.1 μm dicken Films, der durch eine sehr geringe Ätzrate gekennzeichnet ist, wenn er HF-Dampf ausgesetzt wird, bevorzugt Titannitrid, TiN, abgeschieden durch reaktives Sputtern gefolgt durch seine Strukturierung, wobei standardmäßige Photolithographietechniken verwendet werden, um so den gegen HF-Film resistenten Film außerhalb des vorgesehenen Ortes des Schutzhohlraums zu lassen;
  • Schritt j) Zeigt die Photolithographie und das Tiefenätzen des strukturellen ISDP, wobei ein Vertikal-Tiefenätzgerät für Silizium verwendet wird, so wie der STS Multiplex ICP240;
  • Schritt k) zeigt das Abscheiden eines oberen Opfermaterials mit einer sehr hohen Ätzrate, wenn es HF-Dampf ausgesetzt wird, wenigstens dem Vierfachen der Rate des ausgewählten unteren Opfermaterials. Das obere Opfermaterial sollte eine geringe mechanische Belastung haben, um das Aufreißen der tiefengeätzten strukturellen ISDP-Strukturen zu vermeiden, die noch an das untere Opfermaterial gebunden sind, und sollte eine ausgezeichnete formangepaßte Beschichtung sein, um die eng gepackten geätzten Muster (ein typischer minimaler Abstand ist ungefähr 2.5 μm) von Strukturen zu füllen, um übermäßige lokalisierte Hinterschnitte (größer als ungefähr 10 μm) der darunterliegenden strukturellen ISDP-Strukturen anschließend an ihr Überätzen mit HF-Dampf zu vermeiden. Die Abscheidetechnik mit niedriger Abscheidetemperatur (niedriger als 500°C) könnte chemische Gasphasenabscheidung unter atmosphärischem Druck, chemische Dampfabscheidung bei niedrigem Druck, LPCVD – Low Pressure Chemical Vapor Deposition, Elektronenzyklotronresonanzabscheidung, ECRD – Electron Cyclotron Resonance Deposition, metallorganische chemische Gasphasenabscheidung, MOCVD – Metal Organic Chemical Vapor Deposition sein, ist jedoch bevorzugt PECVD. Das Material selbst könnte ein Silikaglas, SiO2, ein Phosphosilikat, PSG, ein anderes Silikatglas, so wie diejenige, die aus dem Einbau von Bor, Titan oder Germanium erhalten werden, sein, ist bevorzugt jedoch ein Tetra-Ethyl-Orthosilikatglas, TEOS, wegen seiner überlegenen formgenauen Abscheidung in tiefen und engen Situationen. Unabhängig von der ausgewählten Abscheidetechnik werden das abgeschiedene Material, die Abscheidebedingungen angepaßt werden, um so wasserstoffreiche Materialien niedrigerer Dichte oder andere Zusammensetzungen und Dichten zu erhalten, für die wahrscheinlich ist, daß sie eine schnellere Ätzgeschwindigkeit haben, wenn sie HF-Dampf ausgesetzt werden;
  • Schritt l) zeigt die Bemusterung des oberen Opfermaterials, um eine Anzahl von Pfeilern und lokalisierten Strukturen zu erzeugen, die mit der Kapselungsstruktur gefüllt werden, um die mechanische Steifigkeit zu erhöhen und um das Zusammenfallen der Kapselungsstruktur zu vermeiden, wenn sie atmosphärischem Druck ausgesetzt wird. Eine dünne harte Maske, so wie aus Aluminium oder anderen Materialien mit einem guten Ätzwiderstand, kann in dem Schritt verwendet werden, um die Güte dieser Tiefenlithographie zu verbessern. Diese dünne Schicht ist in der 4, Schritt l), nicht dargestellt. Die Position der Pfeiler und der lokalisierten mechanischen Strukturen ist derart, daß sie die Oberseite der elektrisch isolierten strukturellen ISDP-Strukturen erreichen, um so den elektrischen Kurzschluß in der Schaltung mit der leitenden Kapselungsstruktur zu vermeiden. Das Vorliegen dieser lokalisierten Strukturen erlaubt es auch, überall einen maximalen Abstand von ungefähr 5.0 μm einzuhalten, ein Wert, der ausreichend gering ist, um das komplette Füllen aller geätzten Muster des abhängenden Epipoly mit dem oberen Opfermaterial sicherzustellen. Die Struturierung des oberen Opfermaterials definiert auch die äußeren Grenzen des aufzubauenden Hohlraums;
  • Schritt m) zeigt das Abscheiden der Kapselungsstruktur. Die Dicke dieser Schicht ist in der Größenordnung von 10 μm, und ihre Dicke wird optimiert, indem sein Bestandteilsmaterial optimiert wird. Die bevorzugten Materialien umfassen: Titan, Ti, Titannitrid, TiN, Polysilizium, Poly-Si, Siliziumnitrid, SiN, Wolfram, W, Titan-Wolfram-Legierung, Ti-W, oder Kombinationen aus diesen. Andere Materialien könnten auch verwendet werden. Im allgemeinen muß das Material oder die Kombination aus Materialien, das/die diese Kapselungsstruktur aufbauen, einen sehr hohen Elastizitätsmodul haben, oberhalb von 100 Gpa, um so die übermäßige Deformation zu verhindern, welche dem atmosphärischen Druck des darunterliegenden abgedichteten Hohlraums folgt, muß einen sehr hohen Widerstand gegenüber HF-Dampf haben, um das Entfernen des oberen Opfermaterials und des unteren Opfermaterials ohne irgendwelches wesentliches Aufweiten der Ätzrohre mit kleinem Durchmesser, die in die Verkapselungsstruktur eingearbeitet sind, zu erlauben, muß mit einer Temperatur so gering wie möglich abgeschieden werden, um soweit wie möglich das Verdichten des oberen Opfermaterials zu vermeiden, was somit eine viel schnellere Ätzrate im HF-Dampf sicherstellt. Das Material oder die Kombination aus Materialien, das/die diese Kapselungsstruktur aufbauen, muß auch eine ausgezeichnete formgenaue Abscheidung haben, um die vertikalen Pfeiler und die lokalisierten vertikalen Strukturen zu erzeugen, die erforderlich sind, um die mechanische Stabilität der Kapselungsstruktur zur verbessern und ihr Zusammenfallen beim Aussetzen des vakuumdichten Hohlraums gegenüber atmosphärischen Druck zu vermeiden. Das Elastizitätsmodul, der Poisson-Koeffizient und die Amplitude der vertikalen Bewegung der Kapselungsstruktur können alle abgeschätzt werden, indem das Bulge-Testmodell der 5 verwendet wird. Über Bulge-Tests wird in der folgenden Referenz berichtet, daß sie oftmals bei 1.0 μm dicken Strukturen mit seitlichen Abmessungen in der Größenordnung von 1 mm ausgeführt werden, was ungefähr die Größe des empfindlichen Teiles der meisten interessierenden MEMS-Vorrichtungen ist:
    • V. Ziebarth, 'Mechanical Properties of CMOS thin films (Mechanische Eigenschaften von CMOS-Dünnfilmen)', eine Doktorarbeit, die bei der Eidgenössischen Technischen-Hochschule, Zürich, hinterlegt wurde, veröffentlicht in: Physical Electronics Laboratory, Eidgenössische Technische Hochschule (ETH) Zürich 1999, 144 Seiten, ISBN 3-89649-519-4.
  • Schritt n) zeigt das Bemustern der Verkapselungsstruktur, um so eine Matrix mit kleinem Durchmesser (in der Größenordnung von 1.5 μm) tiefen (in der Größenordnung von 10 μm) Ätzrohren zu bilden, die später das sehr schnelle Entfernen des oberen Opfermaterials und das langsamere Entfernen des unteren Opfermaterials erlauben, wobei HF-Dampf verwendet wird, was somit freigesetzte MEMS-Komponenten innerhalb eines geschlossenen Hohlraums zu bilden, bereit, abgedichtet zu werden. Diese Ätzrohre müssen klein genug sein, damit sie durch die Oberflächenspannung einer Hochtemperatur-Aluminiumlegierung verschlossen werden, die später abgeschieden wird. Das vollständige Beseitigen der Kapselungsstruktur außerhalb des Hohlraums, über der TiN-Schicht, wird gleichzeitig mit dem Bemustern dieser Ätzrohre durchgeführt.
  • Schritt o) zeigt das Entfernen des oberen Opfermaterials, das sich in dem oberen Hohlraum ebenso wie außerhalb des Hohlraums befindet, über dem TiN, und des unteren Opfermaterials, wobei HF-Dampf verwendet wird. Die HF-Dampftechnik minimiert Haftreibungsprobleme und Oberflächenspannungsprobleme, die mit dem Einsatz von flüssigen HF-Wasser-Lösungen verbunden sind, der dem Freisetzen der Strukturen folgt. Die Auswahl einer oberen Opferschicht mit einer hohen HF-Ätzrate über dem strukturellen ISDP (bevorzugt durch PECVD abgeschiedenes TEOS) mit einer unteren Opferschicht mit einer niedrigen HF-Ätzrate über dem Oberflächen-Polysilizium vereinfacht die Kontrolle des Hinterschnitts des strukturellen ISDP und vermeidet das unerwünschte Freisetzen der Komponenten, die nicht freigelegt werden sollten. In dieser Prozeßstufe können sich die MEMS-Strukturen frei bewe gen, da sie von ihrem umgebenden Material freigesetzt sind. Die Beobachtung des TiN-Gebietes außerhalb des Hohlraums hilft dabei, den Moment zu definieren, zu dem der HF-Dampf das Ätzen innerhalb des undurchlässigen Hohlraums beendet hat. Ein Überätzen ist erforderlich, um sicherzustellen, daß das gesamte untere Opfermaterial unterhalb der strukturellen ISDP-Strukturen freigesetzt ist (wobei die strukturellen ISDP-Strukturen enger als ungefähr 6 μm sind) und effektiv beseitigt wird. Dieses Überätzen muß minimiert werden, um zu verhindern, daß der Hinterschnitt ungefähr 12 μm übersteigt.
  • Schritt p) zeigt die optionale Abscheidung einer Titan-basierten Schicht, so wie metallischem Titan, Ti, Titannitridverbindung, TiN, Titan-Wolfram, TiW-Legierung oder einer Kombination aus diesem, die als ein in situ-Getter für Wasserstoff, Sauerstoff oder Wasserdampf verwendet werden. Diese optionale Schicht wird dabei helfen, die Lebensdauer der Vorrichtung zu verbessern, indem diese unerwünschten Gase gegettert werden. Reaktive Sputterabscheidung, wobei Kollimation verwendet wird, verbessert den Durchlaß von Titanatomen durch die Ätzrohre mit hohem Aspektverhältnis (ungefähr 10:1), die in der Kapselungsstruktur mikrobearbeitet sind. Das leichte Abscheiden des Titans auf der oberen Fläche der strukturellen ISDP-Komponenten verbessert die Gettergüte durch Verbessern der Oberfläche, die zum Einfangen dieser unerwünschten Gase verfügbar ist. Ein optimales Positionieren der mikrobearbeiteten Ätzrohre der Verkapselungsstruktur in bezug auf die darunterliegenden mikrobearbeiteten Ätzrohre der darunterliegenden strukturellen ISDP wird vermeiden, daß das elektrisch leitende, auf Titan basierende Material das Niveau des Oberflächen-Polysiliziums beschichten wird, wo es elektrisches Abfließen oder schlimmer, einen elektrischen Kurzschluß hervorrufen könnte.
  • Schritt q) zeigt das Abscheiden, in einem Vakuum besser als 1 Pa, einer dicken Schicht aus Aluminiumlegierung, gefolgt von einer in situ-Selbstdiffusion bei hoher Temperatur, die das Überbrücken und Vakuumdichten der oberen Ätzrohre der Kapselungsstruktur begünstigt. Wieder wird die Kombination aus den oberen Ätzrohren der Kapselungsstruktur mit hohem Aspektverhältnis, gekoppelt mit ihrer optimalen Positionierung in bezug auf die unteren Ätzrohre der darunterliegenden strukturellen ISDP-Struktur das Abscheiden von Aluminium auf dem Oberflächen-Polysilizium verhindern, wo es elektrisches Abfließen oder schlimmer, einen elektrischen Kurzschluß bewirken könnte. Anschließend an die Selbstdiffusion der dicken Schicht aus Aluminiumlegierung könnte eine obere dicke Schicht aus Titan, Ti, Titannitrid, TiN, oder Kombination aus diesen hinzugefügt werden, um die Starrheit der Kapselungsstruktur noch weiter zu verbessern. Um 4 einfach zu halten ist diese zusätzliche obere Schicht aus Kombinationen von Schichten in den Darstellung q) bis t) nicht enthalten. Das Belüften des vakuumdichten metallisch beschichteten Wafers mit atmosphärischem Druck wird nun eine dauerhafte mechanische Belastung auf diese metallbeschichtete Kapselungsstruktur ausüben. Die Information, die in 5 enthalten ist, kann verwendet werden, um die mechanische Deformation in bezug auf diesen Kontakt mit atmosphärischem Druck für ein gegebenes Material und eine gegebene Dicke vorherzusagen.
  • Schritt r) zeigt die Strukturierung der Aluminiumverbindungen und Bondinseln außerhalb des Hohlraums über der TiN-Schicht. Die bemusterte metallische Schicht wird für das Golddraht-Bonden geeignet sein.
  • Schritt s) zeigt das Beseitigen des TiN, welches die Aluminiumverbindungen und die Bond-Inseln außerhalb des Hohlraums umgibt.
  • Schritt t) zeigt die fertiggestellte Vorrichtung anschließend an das Entfernen des strukturellen ISDP, welches die Aluminium-Verbindungen und Bondinseln außerhalb des vakuumdichten Schutzhohlraums umgibt.
  • REFERENZEN, DIE IN DER BESCHREIBUNG GENANNT SIND
  • Diese Liste der Referenzen, die von dem Anmelder genannt sind, dient nur der Bequemlichkeit des Lesers. Sie bildet keinen Teil des europäischen Patentdokumentes. Obwohl große Sorgfalt getroffen worden ist, die Referenzen zu sammeln, können Fehler oder Weglassungen nicht ausgeschlossen werden, und das EPA lehnt jegliche Verantwortung in dieser Hinsicht ab.
  • Patentdokumente, die in der Beschreibung genannt sind
  • Nichtpatentliteratur, die in der Beschreibung genannt ist
    • • M. FURTSCH; M. OFFENBERG; H: MUENZEL; J.R. MORANTE. Comprehensive study of processing parameters influencing the stress and stress gradient of thick polysilicon layers. SPIE Conference Proceedings Micromachining and microfabrication process technology III, conference proceedings, 29. September 1997, Band 3223, 130–141 [0037][0051][0074]
    • • M. OFFENBERG; F. LARMER; B. ELSNER; H. MUNZEL; W. RIETHMULLER. Novel process for a monolithic integrated accelerometer. Digest of technical papers; Transducers '95 – Eurosensors IX, 1995, Band 1, 589–592 [0043][0074][0075]
    • • M. OFFENBERG, B. ELSNER; F. LARMER. HF vapor etching for sacrificial oxide removal in surface micromachining. Extended Abstracts Electrochem. Soc. Fall Meeting, 1994, Band 94 (2), 1056–1057 [0044][0074]
    • • M. OFFENBERG; B. ELSNER; F. LARMER. HF vapour etching for sacrificial oxide removal in surface micromachining. Extended Abstracts Electrochem. Soc. Fall Meeting, 1994, Band 94-2, 1056–1057 [0076]
    • • A. WITVROUW; B. DU BOIS; P. DE MOOR; A. VERBIST; C. VAN HOOF; H. BENDER; K. BAERT. A comparison between wet HF etching and vapour HF etching for sacrificial oxide removal. Proceedings of SPIE, Micromachining and microfabrication process technology VI, 18. September 2000, Band 4174, 131–141 [0084]
    • • Mechanical Properties of CMOS thin films. V. ZIEBART. Swiss Federal Institute of Technology. Physical Electronics Laboratory, 1999, 144 [0092]

Claims (9)

  1. Verfahren zur Herstellung einer integrierten Schutzkappe auf Waferebene für eine mikroelektromechanische System(MEMS)-Vorrichtung, umfassend die folgenden Schritte: Aufbringen und Strukturieren einer Bondinsel-Oxydschicht auf einem Siliziumsubstrat; Aufbringen und Strukturieren einer Polysiliziumschicht auf der Bondinsel-Oxidschicht und Siliziumsubstraten; Aufbringen und Strukturieren einer ersten Schicht eines Opfermaterials; Aufbringen und Strukturieren eines strukturierten in-situ-dotierten Polysiliziums (ISDP) auf der ersten Opfermaterialschicht; Strukturieren und Tiefätzen des ISDP; Aufbringen und Strukturieren der zweiten Opfermaterialschicht; Aufbringen einer Kapselungsstruktur auf die strukturierte zweite Opfermaterialschicht; Stukturieren der Kapselungsstruktur; selektives Entfernen der ersten und zweiten Opfermaterialschicht, um das MEMS zu erzeugen; und Aufbringen einer Versiegelungsschicht auf die gekapselte Struktur unter Vakuum, dadurch gekennzeichnet, daß die erste und zweite Opfermaterialschicht durch eine Dampfätzung entfernt werden.
  2. Verfahren nach Anspruch 1, wobei die erste und zweite Opfermaterialschicht durch eine Dampfätzung entfernt werden.
  3. Verfahren nach Anspruch 2, wobei die Dampfätzung HF-Dampf verwendet.
  4. Verfahren nach Anspruch 1 bis 3, wobei die Kapselungsstruktur einen hohen Elastizitätsmodul hat.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Versiegelungsschicht unter einem Vakuum von mindestens 1 Pa aufgebracht wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei eine titanbasierte Schicht auf die Kapselungsstruktur aufgebracht wird, um als integrierter Getter für Gase in der MEMS-Vorrichtung zu wirken.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei die Schicht auf die Versiegelungsschicht aufgebracht wird, um die Festigkeit der Versiegelungsschicht zu erhöhen.
  8. Verfahren nach einem der Ansprüche 1 bis 7, wobei die Versiegelungsschicht eine Aluminiumlegierung ist, die einer In-situ-Hochtemperatur-Selbstdiffusion unterzogen wird, um Ätzröhren in der Kapselungsschicht zu überbrücken und zu versiegeln.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei Fremdmaterial entfernt wird, um Kontakte zu der MEMS-Vorrichtung zu ermöglichen.
DE60315749T 2002-04-12 2003-04-09 MEMS Verpackung auf Waferebene Expired - Lifetime DE60315749T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/120,528 US6635509B1 (en) 2002-04-12 2002-04-12 Wafer-level MEMS packaging
US120528 2002-04-12

Publications (2)

Publication Number Publication Date
DE60315749D1 DE60315749D1 (de) 2007-10-04
DE60315749T2 true DE60315749T2 (de) 2008-06-05

Family

ID=28454003

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60315749T Expired - Lifetime DE60315749T2 (de) 2002-04-12 2003-04-09 MEMS Verpackung auf Waferebene

Country Status (4)

Country Link
US (1) US6635509B1 (de)
EP (1) EP1352877B1 (de)
AT (1) ATE370914T1 (de)
DE (1) DE60315749T2 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017120290B3 (de) * 2017-09-04 2018-11-08 Infineon Technologies Ag Verfahren zum Prozessieren einer Schichtstruktur
EP4219391A1 (de) 2022-01-28 2023-08-02 Hahn-Schickard-Gesellschaft für angewandte Forschung e.V. Durchkontaktierung zum betreiben eines mems-bauteiles in einer hermetischen kavität

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6808954B2 (en) * 2001-09-07 2004-10-26 Intel Corporation Vacuum-cavity MEMS resonator
US20030179057A1 (en) * 2002-01-08 2003-09-25 Jun Shen Packaging of a micro-magnetic switch with a patterned permanent magnet
US6902656B2 (en) * 2002-05-24 2005-06-07 Dalsa Semiconductor Inc. Fabrication of microstructures with vacuum-sealed cavity
US6962514B2 (en) * 2002-08-08 2005-11-08 Applied Materials, Inc. Method and apparatus used in fabrication of MEMS stacks
US20040104444A1 (en) * 2002-12-03 2004-06-03 Wachtmann Bruce K MEMS device with alternative electrical connections
FR2849014B1 (fr) * 2002-12-20 2005-06-10 Commissariat Energie Atomique Microstruture encapsulee et procede de fabrication d'une telle microstructure
EP1623675A1 (de) * 2003-02-18 2006-02-08 Matsushita Electric Industrial Co., Ltd. Ultraschall-doppler-blutstrom-messvorrichtung
US20040166606A1 (en) * 2003-02-26 2004-08-26 David Forehand Low temperature wafer-level micro-encapsulation
US7275292B2 (en) 2003-03-07 2007-10-02 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Method for fabricating an acoustical resonator on a substrate
US6914323B2 (en) * 2003-03-20 2005-07-05 Honeywell International Inc. Methods and apparatus for attaching getters to MEMS device housings
JP4333417B2 (ja) * 2003-04-02 2009-09-16 ソニー株式会社 マイクロマシンの製造方法
US7075160B2 (en) 2003-06-04 2006-07-11 Robert Bosch Gmbh Microelectromechanical systems and devices having thin film encapsulated mechanical structures
US6936491B2 (en) * 2003-06-04 2005-08-30 Robert Bosch Gmbh Method of fabricating microelectromechanical systems and devices having trench isolated contacts
US7109635B1 (en) * 2003-06-11 2006-09-19 Sawtek, Inc. Wafer level packaging of materials with different coefficients of thermal expansion
US7176106B2 (en) * 2003-06-13 2007-02-13 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Wafer bonding using reactive foils for massively parallel micro-electromechanical systems packaging
US7230512B1 (en) 2003-08-19 2007-06-12 Triquint, Inc. Wafer-level surface acoustic wave filter package with temperature-compensating characteristics
US6777263B1 (en) * 2003-08-21 2004-08-17 Agilent Technologies, Inc. Film deposition to enhance sealing yield of microcap wafer-level package with vias
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US7871660B2 (en) * 2003-11-14 2011-01-18 Saes Getters, S.P.A. Preparation of getter surfaces using caustic chemicals
US7585744B2 (en) * 2003-12-08 2009-09-08 Freescale Semiconductor, Inc. Method of forming a seal for a semiconductor device
FR2864341B1 (fr) * 2003-12-19 2006-03-24 Commissariat Energie Atomique Microcomposant a cavite hermetique comportant un bouchon et procede de fabrication d'un tel microcomposant
US20050132803A1 (en) * 2003-12-23 2005-06-23 Baldwin David J. Low cost integrated MEMS hybrid
GB0330010D0 (en) * 2003-12-24 2004-01-28 Cavendish Kinetics Ltd Method for containing a device and a corresponding device
US7115436B2 (en) * 2004-02-12 2006-10-03 Robert Bosch Gmbh Integrated getter area for wafer level encapsulated microelectromechanical systems
US6946728B2 (en) * 2004-02-19 2005-09-20 Hewlett-Packard Development Company, L.P. System and methods for hermetic sealing of post media-filled MEMS package
US7381583B1 (en) 2004-05-24 2008-06-03 The United States Of America As Represented By The Secretary Of The Air Force MEMS RF switch integrated process
US7145213B1 (en) 2004-05-24 2006-12-05 The United States Of America As Represented By The Secretary Of The Air Force MEMS RF switch integrated process
US20060003548A1 (en) * 2004-06-30 2006-01-05 Kobrinsky Mauro J Highly compliant plate for wafer bonding
US7172978B2 (en) * 2004-07-21 2007-02-06 Hewlett-Packard Development Company, L.P. MEMS device polymer film deposition process
US7388454B2 (en) * 2004-10-01 2008-06-17 Avago Technologies Wireless Ip Pte Ltd Acoustic resonator performance enhancement using alternating frame structure
US7147908B2 (en) * 2004-10-13 2006-12-12 Hewlett-Packard Development Company, L.P. Semiconductor package with getter formed over an irregular structure
US7368312B1 (en) * 2004-10-15 2008-05-06 Morgan Research Corporation MEMS sensor suite on a chip
US7300812B2 (en) 2004-10-29 2007-11-27 Hewlett-Packard Development Coompany, L.P. Micro electrical mechanical system
US8981876B2 (en) 2004-11-15 2015-03-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Piezoelectric resonator structures and electrical filters having frame elements
US20060125084A1 (en) * 2004-12-15 2006-06-15 Fazzio Ronald S Integration of micro-electro mechanical systems and active circuitry
US7202560B2 (en) * 2004-12-15 2007-04-10 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Wafer bonding of micro-electro mechanical systems to active circuitry
US7791434B2 (en) 2004-12-22 2010-09-07 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Acoustic resonator performance enhancement using selective metal etch and having a trench in the piezoelectric
US7109055B2 (en) * 2005-01-20 2006-09-19 Freescale Semiconductor, Inc. Methods and apparatus having wafer level chip scale package for sensing elements
US7327044B2 (en) 2005-01-21 2008-02-05 Fox Electronics Integrated circuit package encapsulating a hermetically sealed device
US7803665B2 (en) * 2005-02-04 2010-09-28 Imec Method for encapsulating a device in a microcavity
US7442570B2 (en) 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
US7508063B2 (en) * 2005-04-05 2009-03-24 Texas Instruments Incorporated Low cost hermetically sealed package
US7369013B2 (en) 2005-04-06 2008-05-06 Avago Technologies Wireless Ip Pte Ltd Acoustic resonator performance enhancement using filled recessed region
ITMI20050616A1 (it) * 2005-04-12 2006-10-13 Getters Spa Processo per la formazione di depositi getter miniaturizzati e depositi getrter cosi'ottenuti
US7067397B1 (en) * 2005-06-23 2006-06-27 Northrop Gruman Corp. Method of fabricating high yield wafer level packages integrating MMIC and MEMS components
FR2888832B1 (fr) * 2005-07-22 2007-08-24 Commissariat Energie Atomique Conditionnement d'un composant electronique
US8043880B2 (en) * 2005-07-28 2011-10-25 Hewlett-Packard Development, L.P. Microelectronic device
US7956428B2 (en) 2005-08-16 2011-06-07 Robert Bosch Gmbh Microelectromechanical devices and fabrication methods
US7868522B2 (en) 2005-09-09 2011-01-11 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Adjusted frequency temperature coefficient resonator
US7425787B2 (en) * 2005-10-18 2008-09-16 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Acoustic galvanic isolator incorporating single insulated decoupled stacked bulk acoustic resonator with acoustically-resonant electrical insulator
US7737807B2 (en) 2005-10-18 2010-06-15 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Acoustic galvanic isolator incorporating series-connected decoupled stacked bulk acoustic resonators
US7675390B2 (en) 2005-10-18 2010-03-09 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Acoustic galvanic isolator incorporating single decoupled stacked bulk acoustic resonator
US7463499B2 (en) 2005-10-31 2008-12-09 Avago Technologies General Ip (Singapore) Pte Ltd. AC-DC power converter
EP1951612A2 (de) * 2005-11-17 2008-08-06 Koninklijke Philips Electronics N.V. Elektronische vorrichtung mit einem mems-element
US7491567B2 (en) * 2005-11-22 2009-02-17 Honeywell International Inc. MEMS device packaging methods
ITMI20052343A1 (it) 2005-12-06 2007-06-07 Getters Spa Processo per la produzione di dispositivi micromeccanici contenenti un materiale getter e dispositivi cosi'prodotti
US20070170528A1 (en) 2006-01-20 2007-07-26 Aaron Partridge Wafer encapsulated microelectromechanical structure and method of manufacturing same
US7746677B2 (en) 2006-03-09 2010-06-29 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. AC-DC converter circuit and power supply
US7479685B2 (en) 2006-03-10 2009-01-20 Avago Technologies General Ip (Singapore) Pte. Ltd. Electronic device on substrate with cavity and mitigated parasitic leakage path
FR2898597B1 (fr) 2006-03-16 2008-09-19 Commissariat Energie Atomique Encapsulation dans une cavite hermetique d'un compose microelectronique, notamment d'un mems
US20070243662A1 (en) * 2006-03-17 2007-10-18 Johnson Donald W Packaging of MEMS devices
US7666698B2 (en) * 2006-03-21 2010-02-23 Freescale Semiconductor, Inc. Method for forming and sealing a cavity for an integrated MEMS device
US7632698B2 (en) 2006-05-16 2009-12-15 Freescale Semiconductor, Inc. Integrated circuit encapsulation and method therefor
WO2008012713A2 (en) * 2006-07-20 2008-01-31 Nxp B.V. Frame and method of manufacturing assembly
US20080119003A1 (en) * 2006-11-17 2008-05-22 Charles Grosjean Substrate contact for a MEMS device
US7884021B2 (en) * 2007-02-27 2011-02-08 Spartial Photonics, Inc. Planarization of a layer over a cavity
US7659150B1 (en) 2007-03-09 2010-02-09 Silicon Clocks, Inc. Microshells for multi-level vacuum cavities
US7595209B1 (en) 2007-03-09 2009-09-29 Silicon Clocks, Inc. Low stress thin film microshells
US7923790B1 (en) 2007-03-09 2011-04-12 Silicon Laboratories Inc. Planar microshells for vacuum encapsulated devices and damascene method of manufacture
US7736929B1 (en) * 2007-03-09 2010-06-15 Silicon Clocks, Inc. Thin film microshells incorporating a getter layer
DE102007030121A1 (de) * 2007-06-29 2009-01-02 Litef Gmbh Verfahren zur Herstellung eines Bauteils und Bauteil
US7799376B2 (en) * 2007-07-27 2010-09-21 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
US7863071B1 (en) * 2007-08-21 2011-01-04 Rf Micro Devices, Inc. Combined micro-electro-mechanical systems device and integrated circuit on a silicon-on-insulator wafer
JP2009072845A (ja) * 2007-09-19 2009-04-09 Oki Semiconductor Co Ltd 半導体デバイスの製造方法
US7791435B2 (en) 2007-09-28 2010-09-07 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Single stack coupled resonators having differential output
TWI336313B (en) * 2007-10-17 2011-01-21 Pixart Imaging Inc Microelectromechanical device and method for making same
US8592925B2 (en) * 2008-01-11 2013-11-26 Seiko Epson Corporation Functional device with functional structure of a microelectromechanical system disposed in a cavity of a substrate, and manufacturing method thereof
US7989262B2 (en) * 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US7855618B2 (en) 2008-04-30 2010-12-21 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Bulk acoustic resonator electrical impedance transformers
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US7732977B2 (en) 2008-04-30 2010-06-08 Avago Technologies Wireless Ip (Singapore) Transceiver circuit for film bulk acoustic resonator (FBAR) transducers
US7800190B2 (en) * 2008-06-16 2010-09-21 Honeywell International Inc. Getter on die in an upper sense plate designed system
US9595479B2 (en) 2008-07-08 2017-03-14 MCube Inc. Method and structure of three dimensional CMOS transistors with hybrid crystal orientations
US20100075481A1 (en) * 2008-07-08 2010-03-25 Xiao (Charles) Yang Method and structure of monolithically integrated ic-mems oscillator using ic foundry-compatible processes
WO2010006065A2 (en) * 2008-07-08 2010-01-14 Wispry, Inc. Thin-film lid mems devices and methods
US8148781B2 (en) 2008-07-28 2012-04-03 MCube Inc. Method and structures of monolithically integrated ESD suppression device
US7851925B2 (en) 2008-09-19 2010-12-14 Infineon Technologies Ag Wafer level packaged MEMS integrated circuit
DE102008042258A1 (de) * 2008-09-22 2010-04-01 Robert Bosch Gmbh Verfahren zur Herstellung eines mikromechanischen Chips sowie ein Bauelement mit einem derartigen Chip
US20100084752A1 (en) * 2008-10-08 2010-04-08 Honeywell International Inc. Systems and methods for implementing a wafer level hermetic interface chip
US20100221463A1 (en) * 2008-10-29 2010-09-02 Uti Limited Partnership Integrated Encapsulation for MEMS Devices
JP2010280035A (ja) * 2009-06-04 2010-12-16 Toshiba Corp Memsデバイスとその製造方法
US8043891B2 (en) * 2009-06-05 2011-10-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of encapsulating a wafer level microdevice
US20100320595A1 (en) * 2009-06-22 2010-12-23 Honeywell International Inc. Hybrid hermetic interface chip
US8710597B1 (en) * 2010-04-21 2014-04-29 MCube Inc. Method and structure for adding mass with stress isolation to MEMS structures
US8902023B2 (en) 2009-06-24 2014-12-02 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator structure having an electrode with a cantilevered portion
US8248185B2 (en) 2009-06-24 2012-08-21 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Acoustic resonator structure comprising a bridge
WO2011019702A1 (en) 2009-08-13 2011-02-17 Analog Devices, Inc. Mems in-plane resonators
US8193877B2 (en) 2009-11-30 2012-06-05 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Duplexer with negative phase shifting circuit
US8796904B2 (en) 2011-10-31 2014-08-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic resonator comprising piezoelectric layer and inverse piezoelectric layer
US9243316B2 (en) 2010-01-22 2016-01-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Method of fabricating piezoelectric material with selected c-axis orientation
US20110227173A1 (en) * 2010-03-17 2011-09-22 Honeywell International Inc. Mems sensor with integrated asic packaging
US7985659B1 (en) 2010-03-31 2011-07-26 Freescale Semiconductor, Inc. Semiconductor device with a controlled cavity and method of formation
US8368153B2 (en) * 2010-04-08 2013-02-05 United Microelectronics Corp. Wafer level package of MEMS microphone and manufacturing method thereof
CN102270975B (zh) * 2010-06-04 2013-10-09 上海丽恒光微电子科技有限公司 晶振及其制作方法
US8567246B2 (en) 2010-10-12 2013-10-29 Invensense, Inc. Integrated MEMS device and method of use
US8919199B2 (en) 2010-12-01 2014-12-30 Analog Devices, Inc. Apparatus and method for anchoring electrodes in MEMS devices
US9091544B2 (en) 2010-11-05 2015-07-28 Analog Devices, Inc. XY-axis shell-type gyroscopes with reduced cross-talk sensitivity and/or mode matching
US8616056B2 (en) 2010-11-05 2013-12-31 Analog Devices, Inc. BAW gyroscope with bottom electrode
US8631700B2 (en) 2010-11-05 2014-01-21 Analog Devices, Inc. Resonating sensor with mechanical constraints
US8860409B2 (en) 2011-01-11 2014-10-14 Invensense, Inc. Micromachined resonant magnetic field sensors
US8947081B2 (en) 2011-01-11 2015-02-03 Invensense, Inc. Micromachined resonant magnetic field sensors
US9664750B2 (en) 2011-01-11 2017-05-30 Invensense, Inc. In-plane sensing Lorentz force magnetometer
US9039976B2 (en) 2011-01-31 2015-05-26 Analog Devices, Inc. MEMS sensors with closed nodal anchors for operation in an in-plane contour mode
US8962443B2 (en) 2011-01-31 2015-02-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US9136818B2 (en) 2011-02-28 2015-09-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Stacked acoustic resonator comprising a bridge
US9203374B2 (en) 2011-02-28 2015-12-01 Avago Technologies General Ip (Singapore) Pte. Ltd. Film bulk acoustic resonator comprising a bridge
US9083302B2 (en) 2011-02-28 2015-07-14 Avago Technologies General Ip (Singapore) Pte. Ltd. Stacked bulk acoustic resonator comprising a bridge and an acoustic reflector along a perimeter of the resonator
US9425764B2 (en) 2012-10-25 2016-08-23 Avago Technologies General Ip (Singapore) Pte. Ltd. Accoustic resonator having composite electrodes with integrated lateral features
US9148117B2 (en) 2011-02-28 2015-09-29 Avago Technologies General Ip (Singapore) Pte. Ltd. Coupled resonator filter comprising a bridge and frame elements
US9154112B2 (en) 2011-02-28 2015-10-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Coupled resonator filter comprising a bridge
US9048812B2 (en) 2011-02-28 2015-06-02 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic wave resonator comprising bridge formed within piezoelectric layer
US9444426B2 (en) 2012-10-25 2016-09-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Accoustic resonator having integrated lateral feature and temperature compensation feature
US8575820B2 (en) 2011-03-29 2013-11-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Stacked bulk acoustic resonator
TWI506249B (zh) 2011-04-15 2015-11-01 Ind Tech Res Inst 微機電感測裝置
US8350445B1 (en) 2011-06-16 2013-01-08 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Bulk acoustic resonator comprising non-piezoelectric layer and bridge
US8643140B2 (en) 2011-07-11 2014-02-04 United Microelectronics Corp. Suspended beam for use in MEMS device
US8497558B2 (en) * 2011-07-14 2013-07-30 Infineon Technologies Ag System and method for wafer level packaging
US8922302B2 (en) 2011-08-24 2014-12-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator formed on a pedestal
US8525354B2 (en) 2011-10-13 2013-09-03 United Microelectronics Corporation Bond pad structure and fabricating method thereof
US8629036B2 (en) 2011-11-11 2014-01-14 International Business Machines Corporation Integrated semiconductor devices with amorphous silicon beam, methods of manufacture and design structure
US9105751B2 (en) 2011-11-11 2015-08-11 International Business Machines Corporation Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure
US8546240B2 (en) 2011-11-11 2013-10-01 International Business Machines Corporation Methods of manufacturing integrated semiconductor devices with single crystalline beam
CN103121658B (zh) * 2011-11-21 2015-10-28 水木智芯科技(北京)有限公司 电容式三轴微陀螺仪的硅外延制造方法
US8648432B2 (en) * 2011-11-28 2014-02-11 Texas Instruments Deutschland Gmbh Fully embedded micromechanical device, system on chip and method for manufacturing the same
US8803262B2 (en) * 2012-01-17 2014-08-12 Rosemount Aerospace Inc. Die attach stress isolation
US8502327B1 (en) 2012-01-26 2013-08-06 Honeywell International Inc. Systems and methods for conductive pillars
US8981501B2 (en) 2013-04-25 2015-03-17 United Microelectronics Corp. Semiconductor device and method of forming the same
CN103350983B (zh) * 2013-07-01 2015-07-15 广东合微集成电路技术有限公司 一种集成晶圆级真空封装的mems器件及其制造方法
US9599471B2 (en) 2013-11-14 2017-03-21 Analog Devices, Inc. Dual use of a ring structure as gyroscope and accelerometer
US9709595B2 (en) 2013-11-14 2017-07-18 Analog Devices, Inc. Method and apparatus for detecting linear and rotational movement
US9416003B2 (en) * 2014-02-24 2016-08-16 Freescale Semiconductor, Inc. Semiconductor die with high pressure cavity
US9130531B1 (en) * 2014-03-27 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with thermal insulation configuration
US9637371B2 (en) 2014-07-25 2017-05-02 Semiconductor Manufacturing International (Shanghai) Corporation Membrane transducer structures and methods of manufacturing same using thin-film encapsulation
US10746548B2 (en) 2014-11-04 2020-08-18 Analog Devices, Inc. Ring gyroscope structural features
US10073113B2 (en) * 2014-12-22 2018-09-11 Analog Devices, Inc. Silicon-based MEMS devices including wells embedded with high density metal
WO2016130722A1 (en) 2015-02-11 2016-08-18 Invensense, Inc. 3D INTEGRATION USING Al-Ge EUTECTIC BOND INTERCONNECT
US9869552B2 (en) * 2015-03-20 2018-01-16 Analog Devices, Inc. Gyroscope that compensates for fluctuations in sensitivity
US10192850B1 (en) 2016-09-19 2019-01-29 Sitime Corporation Bonding process with inhibited oxide formation
US10607857B2 (en) 2017-12-06 2020-03-31 Indium Corporation Semiconductor device assembly including a thermal interface bond between a semiconductor die and a passive heat exchanger
FI20185058A1 (en) * 2018-01-22 2019-07-23 Tikitin Oy Packaged microelectronic component and method for its manufacture
US11656077B2 (en) 2019-01-31 2023-05-23 Analog Devices, Inc. Pseudo-extensional mode MEMS ring gyroscope
US11462478B2 (en) * 2019-05-30 2022-10-04 Taiwan Semiconductor Manufacturing Company Ltd. Layer for buffer semiconductor device including microelectromechnical system (MEMS) device
US10734184B1 (en) 2019-06-21 2020-08-04 Elbit Systems Of America, Llc Wafer scale image intensifier
CN112265956B (zh) * 2020-09-25 2023-07-28 华东光电集成器件研究所 一种不同真空度封装的mems圆片级真空封装方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5188983A (en) * 1990-04-11 1993-02-23 Wisconsin Alumni Research Foundation Polysilicon resonating beam transducers and method of producing the same
WO1994014240A1 (en) 1992-12-11 1994-06-23 The Regents Of The University Of California Microelectromechanical signal processors
JP3613838B2 (ja) 1995-05-18 2005-01-26 株式会社デンソー 半導体装置の製造方法
DE19526691A1 (de) 1995-07-21 1997-01-23 Bosch Gmbh Robert Verfahren zur Herstellung von Beschleunigungssensoren
US5963788A (en) * 1995-09-06 1999-10-05 Sandia Corporation Method for integrating microelectromechanical devices with electronic circuitry
KR0171009B1 (ko) 1995-12-07 1999-05-01 양승택 원판 진동형 마이크로 자이로스코프 및 그의 제조방법
JPH09196682A (ja) 1996-01-19 1997-07-31 Matsushita Electric Ind Co Ltd 角速度センサと加速度センサ
US6140144A (en) 1996-08-08 2000-10-31 Integrated Sensing Systems, Inc. Method for packaging microsensors
EP0951068A1 (de) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Herstellungsverfahren für eine Mikrostruktur mit Innenraum
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
US6265246B1 (en) 1999-07-23 2001-07-24 Agilent Technologies, Inc. Microcap wafer-level package
KR100323832B1 (ko) * 1999-08-25 2002-02-07 윤종용 고용량을 갖는 캐패시터의 제조방법 및 이를 이용한 반도체 소자의 제조방법
DE19961578A1 (de) * 1999-12-21 2001-06-28 Bosch Gmbh Robert Sensor mit zumindest einer mikromechanischen Struktur und Verfahren zur Herstellung
US6335224B1 (en) 2000-05-16 2002-01-01 Sandia Corporation Protection of microelectronic devices during packaging

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017120290B3 (de) * 2017-09-04 2018-11-08 Infineon Technologies Ag Verfahren zum Prozessieren einer Schichtstruktur
US10766766B2 (en) 2017-09-04 2020-09-08 Infineon Technologies Ag Method for processing a layer structure and microelectromechanical component
US11180362B2 (en) 2017-09-04 2021-11-23 Infineon Technologies Ag Method for processing a layer structure and microelectromechanical component
US11787686B2 (en) 2017-09-04 2023-10-17 Infineon Technologies Ag Method for processing a layer structure and microelectromechanical component
EP4219391A1 (de) 2022-01-28 2023-08-02 Hahn-Schickard-Gesellschaft für angewandte Forschung e.V. Durchkontaktierung zum betreiben eines mems-bauteiles in einer hermetischen kavität

Also Published As

Publication number Publication date
DE60315749D1 (de) 2007-10-04
ATE370914T1 (de) 2007-09-15
US6635509B1 (en) 2003-10-21
EP1352877A2 (de) 2003-10-15
EP1352877B1 (de) 2007-08-22
EP1352877A3 (de) 2004-11-17

Similar Documents

Publication Publication Date Title
DE60315749T2 (de) MEMS Verpackung auf Waferebene
DE60319188T2 (de) Herstellung von Mikrostrukturen mit vakuumversiegeltem Hohlraum
US7956428B2 (en) Microelectromechanical devices and fabrication methods
DE102006032925B4 (de) Elektronische Baugruppe und Verfahren zur Verkapselung elektronischer Bauelemente und integrierter Schaltungen
EP1634328B1 (de) Mikroelektromechanische systeme und verfahren zum einkapseln und herstellen derselben
CA2514230C (en) Microelectromechanical systems having trench isolated contacts, and methods for fabricating same
EP1652219B1 (de) Anker für mikroelektromechanische systeme mit einem soi-substrat und herstellungsverfahren dafür
EP1274647B1 (de) Mikromechanisches bauelement und entsprechendes herstellungsverfahren
DE102007038169B4 (de) Verfahren zum Verpacken auf Waferebene unter Verwendung von Waferdurchgangslöchern mit Seitenwänden mit geringem Aspektverhältnis
US7138293B2 (en) Wafer level packaging technique for microdevices
DE102010062419A1 (de) Bereichsunterteiltes Substrat und Halbleiterbauelement
US20080122020A1 (en) Microelectromechanical devices and fabrication methods
EP2331453B1 (de) Mikromechanisches bauelement mit durchgangselektrode und verfahren zu dessen herstellung
WO2006105924A1 (de) Mikromechanisches bauteil sowie verfahren zur herstellung eines mikromechanischen bauteils
EP1389307B1 (de) Sensoranordnung, insbesondere mikromechanische sensoranordnung
WO2012069078A1 (de) Eutektische bondung von dünnchips auf einem trägersubstrat
DE10324421B4 (de) Halbleiterbauelement mit Metallisierungsfläche und Verfahren zur Herstellung desselben
DE102009046081B4 (de) Eutektische Bondung von Dünnchips auf einem Trägersubstrat
DE102008040522A1 (de) Verfahren zur Herstellung einer mikromechanischen Struktur und mikromechanische Struktur

Legal Events

Date Code Title Description
8364 No opposition during term of opposition