DE60131511T2 - Halbleiterverarbeitungsmodul und Vorrichtung - Google Patents

Halbleiterverarbeitungsmodul und Vorrichtung Download PDF

Info

Publication number
DE60131511T2
DE60131511T2 DE60131511T DE60131511T DE60131511T2 DE 60131511 T2 DE60131511 T2 DE 60131511T2 DE 60131511 T DE60131511 T DE 60131511T DE 60131511 T DE60131511 T DE 60131511T DE 60131511 T2 DE60131511 T2 DE 60131511T2
Authority
DE
Germany
Prior art keywords
reactor
susceptor
substrate
semiconductor processing
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60131511T
Other languages
English (en)
Other versions
DE60131511D1 (de
Inventor
Takayuki Tama-shi Yamagishi
Masaei Tama-shi Suwada
Takeshi Tama-shi Watanabe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Application granted granted Critical
Publication of DE60131511D1 publication Critical patent/DE60131511D1/de
Publication of DE60131511T2 publication Critical patent/DE60131511T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft eine Vorrichtung zur Halbleiterherstellung unter Verwendung einer Vakuum-Beladeschleusenkammer, die mit einem Reaktor verbunden ist, und sie bezieht sich insbesondere auf eine Vorrichtung zur Halbleiterherstellung mit kompakten Einheiten zur Einzelwafer-Verarbeitung mit freiem Aufbau, auf ihr Gasleitungssystem und den Aufbau eines Reaktors.
  • Beschreibung der verwandten Technik
  • Im allgemeinen weisen Kammern einer Vorrichtung zur Halbleiterherstellung, die ein System mit einer Vakuum-Beladeschleuse verwenden, eine Beladeschleusenkammer, eine Übergangskammer und mehrere Reaktoren (Verarbeitungskammern) auf, die mit der Überführungskammer verbunden sind. Für jede Kammer wird ein Substrathandhabungsroboter für die automatische Zuführung von Substraten verwendet, und sie funktioniert wie im folgenden beschrieben wird: Zuerst bringt der Atmosphären-Roboter bzw. der unter Atmosphäre arbeitende Roboter ein Substrat aus einer Kassette oder einem einheitlichen Halter mit Öffnung vorn („FOUP", d. h. eine wegnehmbare Kassette und ein Kasten mit einem Anschluß mit Öffnung vorn) in eine Beladeschleusenkammer. Nach dem Absaugen von Luft in die Beladeschleusenkammer wird das Substrat durch einen Vakuumroboter bzw. im Vakuum arbeitenden Roboter, der in einer gemeinsamen polygonförmigen Überführungskammer vorgesehen ist, zu jedem Reaktor überführt. Das in dem Reaktor verarbeitete Substrat wird von dem Vakuumroboter in der Beladeschleusenkammer überführt. Zuletzt wird nach der Wiederherstellung des Atmosphärendrucks innerhalb der Beladeschleusenkammer das verarbeitete Substrat von dem Atmosphären-Roboter aus der Kassette oder dem FOUP herausgenommen. Diese Art von Vorrichtung wird allgemein ein Gruppenwerkzeug genannt.
  • Herkömmlich gibt es zwei Typen von Gruppenwerkzeugen, d. h. den Typ mit Verarbeitung eines Einzelwafers und den Typ mit Waferverarbeitung auf Chargenbasis. Bei dem Typ mit Verarbeitung eines einzelnen Wafers verarbeitet jeder Reaktor einen Wafer nach dem anderen. Bei dem Typ mit Waferverarbeitung auf der Chargenbasis verarbeitet ein einziger Reaktor jeweils mehrere Wafer.
  • Weil die Vorrichtung zur Waferverarbeitung auf Chargenbasis mehrere Substratplatten in einem einzigen Reaktor besitzt, ist ihre Produktivität hoch. Ein dünner Film, der von der Vorrichtung zur Waferverarbeitung auf der Chargenbasis auf einem Substrat gebildet wird, hat jedoch nicht eine gleichmäßige Dicke und Qualität, und dies stellt oft ein Problem dar. Um die Gleichmä ßigkeit der Filmdicke und Qualität zu verbessern, ist die Verwendung einer Verarbeitungsvorrichtung eines Einzelwafers effektiv.
  • Bei dem Versuch, die Produktivität unter Verwendung einer herkömmlichen Vorrichtung vom Typ der Verarbeitung eines Einzelwafers zu erhöhen, erhöht sich die Anzahl von Reaktoren, die Aufstandsfläche (eine von einer Vorrichtung eingenommene Fläche) und Vorderfläche (die Breite eines Vorrichtungsfrontfeldes) nehmen zu, und die Kosten erhöhen sich ebenso. Der Grund hierfür liegt darin, daß die herkömmliche Vorrichtung mit der Einzelwafer-Verarbeitung eine gemeinsame, polygonförmige Überführungskammer aufweist und Reaktoren an dieser angebracht sind, die in allen Richtungen strahlen. Außerdem ist infolge der Anzahl von Seiten eines Polygons die Anzahl von Reaktoren oder eines Aufbaus beschränkt. Weiterhin besitzt herkömmlich jeder Reaktor unabhängig eine Gasleitung und eine Vakuumleitung und führt die Abscheidung (Filmbildung) unabhängig durch. Bei Erhöhung der Reaktoranzahl zur Verbesserung der Produktivität nimmt die Anzahl von Vakuumelementen zu, denn dieselbe Anzahl von Gasleitungen und Vakuumpumpen wie Reaktoren ist erforderlich. Infolgedessen nehmen die Kosten pro Reaktor zu, und gleichzeitig erhöht sich die Aufstandsfläche.
  • Ferner hat bei einer herkömmlichen Halbleiterherstellungsvorrichtung mit Verarbeitung eines Einzelwafers ein Reaktor einen einlagigen Aufbau, und das Anordnen und Verarbeiten eines Substrates werden innerhalb derselben Kammer durchgeführt. Folglich ist die Kapazität einer Verarbeitungskammer groß, und die Menge an Reaktionsgas und Zeit, die für die Abscheidung und Vereinigung erforderlich sind, erhöhen sich dementsprechend. Um dieses Problem zu lösen, wurde von einem Verfahren zur Reduzierung der Kapazität einer Verarbeitungskammer durch Abdichten eines Reaktors, nachdem ein Wafer überführt ist, berichtet ( US-Patent Nr. 5,730,801 oder japanische Patentoffenlegung Nr. 8-172037 ). Dieses Verfahren ist eine Technik, die allgemein für vertikale, chargenverarbeitende Öfen verwendet wird. Bei der Anwendung dieses Verfahrens auf Reaktoren zur Verarbeitung von Einzelwafern tritt das folgende Problem auf: Für einen Suszeptor innerhalb eines Verarbeitungsreaktors für einen Einzelwafer, um einen Raum zwischen einem Substrat und einem Suszeptor so zu erzeugen, daß Endfaktoren bzw. Endgrößen eines Vakuumroboters bei der Übertragung eines Substrates herein- und hinausgelangen können, wird normalerweise ein Substrat-Anhebestift, welcher durch den Suszeptor hindurchgeht, zur zeitweiligen Abstützung eines Substrates vorgesehen. Für ein Abdichten am Umfang eines Suszeptors ist es notwendig, diesen durch ein Loch zu füllen. Zum Abdichten des Loches wurde eine Lösung ausgedacht, bei der zu diesem Zweck das eigene Gewicht eines Substrat-Anhebestiftes verwendet wird. Der Anhebestift wird mit einem Flansch versehen, welcher das Loch abdichten kann ( US-Patent Nr. 5,730,801 oder japanische Patentoffenlegung Nr. 8-172037 ). Diese Lösung ist jedoch sehr unstabil und hat ein Risiko, daß es eine Leckage geben kann, wenn die Dichtung nicht einwandfrei ist, wenn zum Beispiel der Stift unter irgendeinem Einfluß gefangen ist oder wenn der Druck in einer Überführungskammer höher wird als der Druck innerhalb einer Verarbeitungskammer.
  • Ferner offenbart die japanische Patentoffenlegung Nr. 10-30183 eine Veraschungsvorrichtung mit einem Überführungsmechanismus innerhalb einer Beladeschleusenkammer, die mit einer Verarbeitungskammer verbunden ist, die einfach dadurch gekennzeichnet ist, daß eine ein Halbleitersubstrat haltende Weitergabeeinheit auf eine Verarbeitungskammer aufgeladen oder von dieser abgeladen wird. Gemäß den obigen Aufbauten kann die Aufstandsfläche oder Vorderfläche zu einem gewissen Grad reduziert werden. Eine Reduktion der Aufstandsfläche oder Vorderfläche ist jedoch nicht zufriedenstellend, und es wurde nicht berücksichtigt, die Leistungsfähigkeit und Produktivität des Prozesses zu verbessern oder ein Gesamtsystem oder eine Vergrößerungsvorrichtung zu vereinfachen. Der obige Aufbau wird nachstehend weiter erläutert.
  • Ferner offenbart die japanische Patentoffenlegung Nr. 10-154739 (oder US-Patent Nr. 5,855,681 ) eine substratverarbeitende Vorrichtung mit (a) einer Beladeschleusenkammer, (b) einer Übertragungskammer, (c) mehr als einem Reaktor, der jeweils mit der Übertragungskammer verbunden ist und mehrere (praktisch zwei) Verarbeitungszonen hat, und (d) einem innerhalb der Übertragungskammer vorgesehenen ersten Substrat-Handhabungsteil. Diese Vorrichtung schließt mehrere Reaktoren ein, muß aber die Überführungskammer als eine Plattform einschließen, welche zusätzlich zu der Beladeschleusenkammer den Reaktoren gemeinsam ist. Eine Verringerung der Aufstandsfläche oder Vorderfläche kann nicht erreicht werden, und es wurde unzureichend berücksichtigt, die Prozeßleistung und Produktivität zu verbessern oder ein Gesamtsystem oder eine Vorrichtung zum Vergrößern bzw. Heraufsetzen zu vereinfachen. Der obenstehende Aufbau wird nachfolgend weiter erläutert.
  • WO-A-99/18603 beschreibt eine Vorrichtung zur Durchführung eines Prozesses an einem Substrat mit:
    Einem Förderer zum Abstützen des Substrats längs einer Arbeitsablaufbahn;
    einem Substrat-Überführungsmechanismus mit einer Ausgestaltung und Anordnung, um das Substrat von dem Förderer abzunehmen und ein anderes Substrat auf diesem anzuordnen;
    und
    mindestens einer Verarbeitungsinsel, die längs der Ablaufbahn angeordnet ist, wobei jede Verarbeitungsinsel ein Ventil hat für das Einführen und Abziehen des Substrates in ihr Inneres oder aus diesem heraus.
  • EP-A-0 180 373 beschreibt einen Plasmareaktor für die Herstellung von Halbleitervorrichtungen mit angetriebenen Beladeschleusen und einer Hauptverarbeitungskammer, wobei ein Stück nach dem anderen mit Vorätz-Plasmabehandlungen vor der Hauptätzverarbeitung verarbeitet werden können und danach eine Nachätzbehandlung aufnehmen. Das System weist angetriebene Beladeschleusen, eine Hauptkammer, Vakuumpumpen, einen Hochfrequenzenergieversorger, Hochfrequenzabstimmungsnetzwerke, Wärmetauscher und Drosselventil- und Drucksteuergeräte, Gasflußverteilungs- und Mikroprozessorsteuergeräte auf. Die Halbleiterwafer werden automatisch einer nach dem anderen aus Speicherkassetten durch Isoliertore mit beweglichen mechanischen Arbeiten zu einer angetriebenen Eingangsbeladeschleuse für Vorätzpro zesse zugeführt. Bei der Vollendung des Vorätzverarbeitens wird der Halbleiterwafer automatisch zu der Hauptkammer für das Hauptätzverarbeiten überführt und dann zu der angetriebenen Ausgangsbeladeschleuse für die Nachätzbehandlung und schließlich zu einer Ausgabekassette.
  • Zusammenfassung der Erfindung
  • Deshalb ist es Aufgabe der vorliegenden Erfindung, eine Halbleiterherstellungsvorrichtung zur Verfügung zu stellen, welche niedrige Kosten, eine kleine Aufstandsfläche und eine kleine Vorderfläche realisiert.
  • Eine andere Aufgabe der vorliegenden Erfindung ist die Schaffung einer kompakten Halbleiterherstellungsvorrichtung mit freiem Aufbau, welche einem Bereich von der Produktion einer kleinen Menge bis zur Massenproduktion Rechnung tragen kann.
  • Noch eine andere Aufgabe der vorliegenden Erfindung ist die Schaffung einer Halbleiterherstellungsvorrichtung, welche einen stabilen Prozeß und einen hohen Durchsatz realisiert.
  • Eine weitere andere Aufgabe der vorliegenden Erfindung ist die Schaffung eines Gasleitungssystems, welches eine Gasleitung und eine Vakuumleitung einschließt, wobei eine Arbeitsreduzierung und Kostenerniedrigung realisiert werden.
  • Eine weitere andere Aufgabe der vorliegenden Erfindung ist die Schaffung eines Abdichtmechanismus für einen Reaktor, der sicher eine Reaktionszone einer Verarbeitungskammer für eine Behandlung abdichten kann, wobei diese Zone durch Teilen des Inneren der Verarbeitungskammer bestimmt wird, wobei ein einfacher Aufbau oder ein anderer Aufbau verwendet wird, welcher dieselben Wirkungen erhalten kann, wie man sie bei Verwendung einer Dichtung erhält.
  • Die vorstehenden Aufgaben sind nur Beispiele und begrenzen nicht den Geltungsbereich der vorliegenden Erfindung. Um die vorstehenden Aufgaben zu lösen, weist die vorliegende Erfindung die folgenden Ausführungsformen auf:
    Gemäß der vorliegenden Erfindung wird ein Halbleiterverarbeitungsmodul vorgesehen mit geeigneter Ausgestaltung, um an eine Atmosphären-Robotereinheit angeschlossen zu werden, mit:
    • (a) mehreren Einzelwafer-Verarbeitungseinheiten, die nebeneinander angeordnet sind, wobei jede Einheit aufweist: einen Reaktor für die Behandlung eines Halbleitersubstrats, der in einer Abgasleitung ein Drucksteuerventil hat; und mindestens eine Beladeschleusenkammer für das Überführen des Halbleitersubstrats in den Reaktor und aus diesem heraus in einem Vakuum, wobei die Beladeschleusenkammer ein Vorderende und ein Hinterende hat, das Hinterende unmittelbar mit dem Reaktor über ein Absperrventil verbunden ist, das Vorderende geeignet ausgestaltet ist, um über ein Prallplattenventil mit der Atmosphären-Robotereinheit verbunden zu werden, wobei die Beladeschleusenkammer einen Vakuumroboter aufweist mit angelenkten Armen und mit einem Drehschaft zum Überführen des Halbleitersubstrats in den Reaktor und aus diesem heraus durch eine gerade Bewegung durch das Absperrventil; und
    • (b) einem Gaszuführsystem, welches den mehreren Einheiten gemeinsam ist, wobei der Gasfluß jedes Reaktors von dem in jedem Reaktor vorgesehenen Drucksteuerventil gesteuert wird.
    • (a) mehreren Einzelwafer-Verarbeitungseinheiten, die nebeneinander angeordnet sind, wobei jede Einheit aufweist: einen Reaktor (1) für die Behandlung eines Halbleitersubstrats (20), der in einer Abgasleitung ein Drucksteuerventil hat; und mindestens eine Beladeschleusenkammer (3) für das Überführen des Halbleitersubstrats in den Reaktor und aus diesem heraus in einem Vakuum, wobei die Beladeschleusenkammer ein Vorderende und ein Hinterende hat, das Hinterende unmittelbar mit dem Reaktor über ein Absperrventil (2) verbunden ist, das Vorderende geeignet ausgestaltet ist, um über ein Prallplattenventil (21) mit der Atmosphären-Robotereinheit (5) verbunden zu werden, wobei die Beladeschleusenkammer einen Vakuumroboter (4) aufweist mit angelenkten Armen mit einem Drehschaft zum Überführen des Halbleitersubstrats in den Reaktor und aus diesem heraus durch eine gerade Bewegung durch das Absperrventil; und
    • (b) einem Gaszuführsystem, welches den mehreren Einheiten gemeinsam ist, wobei der Gasfluß jedes Reaktors von dem in jedem Reaktor vorgesehenen Drucksteuerventil gesteuert wird.
  • Die 1(a)1(c), 2(a)2(d), 6, 7 und 11 zeigen beispielsweise Ausführungsformen dieses Aspektes.
  • Nach dem Vorstehenden kann das Drucksteuerventil ein einstellbares Drosselventil mit Selbstdrucksteuerung (APC) sein.
  • Bei einer Ausführungsform können die Beladeschleusenkammern über einen Verteiler miteinander verbunden sein, der sich an einen unteren Abschnitt jeder Beladeschleusenkammer anschließt, wodurch ein Vakuumbetrieb wie eine Beladeschleusenkammer gesteuert wird. 13 zeigt zum Beispiel diese Ausführungsform.
  • Bei einer anderen Ausführungsform kann jede Einheit zwei Beladeschleusenkammern haben, deren eine Kammer oben auf der anderen Kammer angeordnet ist, wobei eine der Kammern das Halbleitersubstrat in einem Vakuum in den Reaktor überführt, während die andere Kammer das Halbleitersubstrat in einem Vakuum aus dem Reaktor herausführt. 3 zeigt zum Beispiel diese Ausführungsform.
  • Im Hinblick auf das Gaszuführsystem des Moduls kann das Gaszuführsystem mit einem Flußteiler versehen sein, um Gas in gleichem Maß jedem Reaktor zuzuführen, und weist ferner ein Gasrückschlagventil für jeden Reaktor zwischen dem Flußteiler und jedem Reaktor auf. Das Gaszuführsystem kann für jeden Reaktor mit einer Massenflußsteuereinrichtung versehen sein.
  • Im Hinblick auf das Abgassystem kann das Modul ein Vakuumauslaßventil für jeden Reaktor zwischen dem Drucksteuerventil und dem Reaktor aufweisen. Das Gasauslaßsystem bzw. Abgassystem kann den entsprechenden Reaktoren gemeinsam sein, d. h. ein einziges Abgassystem sein. Die 6, 7 und 11 zeigen zum Beispiel diese Ausführungsformen.
  • Bei einer Ausführungsform kann das Modul ferner einen Hochfrequenzgenerator und einen Schalter für das elektrische Verbinden des Hochfrequenzgenerators und einen der Reaktoren aufweisen, wobei die Ablagerung bzw. Abscheidung und das Reinigen abwechselnd in den entsprechenden Reaktoren durchgeführt werden. 7 zeigt zum Beispiel diese Ausführungsform.
  • Hinsichtlich des Reaktors weist dieser bei einer Ausführungsform auf: (a) einen Suszeptor, um ein Halbleitersubstrat auf diesem anzuordnen; (b) eine Dichtplatte, die unter dem Suszeptor und koaxial zu diesem vorgesehen ist und einen größeren Durchmesser hat als der Suszeptor sowie eine Abdichtfläche längs ihres Umfangs; (c) eine Anhebe-/Absenkvorrichtung zum Bewegen des Suszeptors und der Dichtung in einer vertikalen Richtung; (d) einen an einer Oberwand des Reaktors vorgesehenen Duschkopf zum Einführen von Gas; und (e) ein Rohrleitungsteil, welches nahe dem Duschkopf vorgesehen ist und einen kreisförmigen Vorsprung hat, der längs einer Innenwand des Reaktors gebildet ist, wobei: (i) an einer Position, an welcher der Suszeptor und die Abdichtplatte sich erheben und die Abdichtoberfläche der Dichtplatte mit dem kreisförmigen Vorsprung des Rohrleitungsteils in Berührung kommt, das Innere des Reaktors durch die Abdichtplatte in ein oberes Reaktionsabteil und ein unteres Reaktionsabteil aufgeteilt wird, wobei in dem Reaktionsabteil ein Substrat auf dem Suszeptor behandelt wird; und (ii) an einer Position, an welcher der Suszeptor und die Abdichtplatte sich absenken, ein Substrat über das Absperrventil überführt wird. Die 810 zeigen zum Beispiel diese Ausführungsform.
  • Nach dem Vorstehenden kann der Reaktor ferner aufweisen: (f) mindestens drei Substratanhebestifte, welche durch den Suszeptor für die Halterung eines Substrats über dem Suszeptor hindurchgehen; (g) eine Scheibe zum Abstützen der Substratanhebestifte, wobei die Scheibe zwischen dem Suszeptor und der Abdichtplatte und koaxial zu dem Suszeptor vorgesehen ist und einen Durchmesser hat, der kleiner ist als die Abdichtplatte, aber größer als der Innendurchmesser des kreisförmigen Vorsprungs; und (h) ein schwimmendes elastisches Material mit einem oberen Ende, welches die Scheibe von ihrer Rückseite und einem unteren Ende haltert, das in einer in der Abdichtplatte gebildeten Ausnehmung eingefügt ist, wobei, wenn die Abdichtplatte gegen den kreisförmigen Vorsprung des Rohrleitungsteils abgedichtet ist, die Scheibe auch von dem kreisförmigen Vorsprung gehalten wird, um das schwimmende elastische Material in die Ausnehmung der Abdichtplatte zurückzustoßen, und die Substratanhebestifte auf eine Position absinken, in welcher sich die Spitze jedes Substratanhebestifts auf derselben oder einer niedrigeren Höhe als eine Suszeptoroberfläche befindet. Ferner kann die Abdichtplatte mit einem elastischen Druckmaterial versehen sein für das Sichern der Dichtung zwischen der Abdichtoberfläche der Abdichtplatte und dem kreisförmigen Vorsprung (siehe zum Beispiel 10).
  • Außerdem kann das Modul ferner eine die Suszeptorhöhe einstellende Platte zum Einstellen des Abstands zwischen dem Suszeptor und der Abdichtplatte aufweisen, wodurch der Abstand zwischen dem Duschkopf und dem Suszeptor eingestellt wird, wenn sich der Suszeptor und die Abdichtplatte anheben und die Abdichtplatte den kreisförmigen Vorsprung der Rohrleitung abdichtet. Die die Suszeptorhöhe einstellende Platte ist längs eines Suszeptorschafts und koaxial zu diesem installiert (siehe zum Beispiel die 8 und 9).
  • Bei einer anderen Ausführungsform kann der Reaktor aufweisen: (a) einen Suszeptor, um ein Halbleitersubstrat auf diesem anzuordnen, (b) eine Anhebe-/Absenkvorrichtung für das Bewegen des Suszeptors in einer vertikalen Richtung; (c) einen Duschkopf, der an einer Oberwand des Reaktors zum Einführen von Gas vorgesehen ist; (d) ein Rohrleitungsteil, welches nahe dem Duschkopf vorgesehen und längs einer inneren Wand des Reaktors gebildet ist; und (e) eine kreisförmige Stauplatte, die an der Innenwand des Reaktors unmittelbar unter dem Rohrleitungsteil vorgesehen ist, wobei ein Spalt zwischen der kreisförmigen Stauplatte und dem Rohrleitungsteil gebildet ist und die kreisförmige Stauplatte einen Innendurchmesser hat, der etwas kleiner ist als der Suszeptor, wobei: (i) an einer Position, in welcher sich der Suszeptor anhebt und mit der kreisförmigen Stauplatte bündig gemacht ist, das Innere des Reaktors in ein oberes Reaktionsabteil und ein unteres Reaktionsabteil geteilt wird, in dem Reaktionsabteil ein Substrat auf dem Suszeptor behandelt wird, ein Abgas aus dem oberen Reaktionsabteil durch den Spalt abgeführt wird, der zwischen der kreisförmigen Stauplatte und dem Rohrleitungsteil gebildet ist, und ein Schutzgas in das Reaktionsabteil hinein aus dem unteren Abteil durch einen Spalt vorgesehen wird, welcher zwischen dem Suszeptor und der kreisförmigen Stauplatte gebildet ist; und (ii) an einer Position, bei welcher der Suszeptor absinkt, ein Substrat über das Absperrventil überführt wird. Die 12(a) und 12(b) zeigen zum Beispiel diese Ausführungsform. Nach dem vorstehenden können das Rohrleitungsteil und die Stauplatte aus einem Isolationsmaterial hergestellt sein.
  • Nach einem anderen Aspekt sieht die vorliegende Erfindung eine Halbleiterverarbeitungsvorrichtung vor, die mindestens ein oben beschriebenes Modul aufweist, und sieht eine Atmosphären-Robotereinheit vor, die einen Atmosphären-Roboter für das Überführen eines Substrates in jeden Reaktor hinein und aus diesem heraus einschließt. Siehe zum Beispiel die 1(a) bis 1(c), 4 und 5.
  • Nach dem vorstehenden kann die Atmosphären-Robotereinheit einen Gleitschaft parallel zu den Beladeschleusenkammern haben, wobei der Atmosphären-Roboter auf dem Schaft gleitet, um sich vor jede Beladeschleusenkammer zu positionieren. Siehe zum Beispiel 4 und 5. Nach dem vorstehenden können die mehreren Module nebeneinander in einer Linie ausgerichtet sein (siehe zum Beispiel 4), oder die mehreren Module können so angeordnet sein, daß sie über eine gemeinsame Atmosphären-Robotereinheit einander zu gerichtet sind, welche einen Atmosphären-Roboter aufweist für das Überführen eines Substrates in jede Beladeschleusenkammer und aus dieser heraus (zum Beispiel 5).
  • Bei einer Ausführungsform kann die Vorrichtung ferner eine Atmosphären-Transporteinheit aufweisen, die mit der Atmosphären-Robotereinheit und einem Beladeanschluß verbunden ist, wobei die Atmosphären-Transporteinheit ein Substrat oder Substrate zwischen dem Atmosphären-Roboter und dem Beladeanschluß überführt. Siehe zum Beispiel 5. Nach dem vorstehenden kann die Vorrichtung den Beladeanschluß einschließen, der eine Kassette und/oder eine Inspektionseinheit aufweist. Bei einer Ausführungsform kann ferner die Atmosphären-Transporteinheit aufweisen: (a) einen Schlitz und einen Armschaft für das gemeinsame Überführen mehrerer Substrate; (b) einen Anhebe-/Absenkschaft; und (c) einen Drehschaft für das Überführen von Substraten zwischen dem Beladeanschluß und dem Atmosphären-Roboter, wobei die Atmosphären-Transporteinheit gemeinsam mehrere Substrate in eine Position bewegt, in welcher ein Substrat zwischen der Atmosphären-Transporteinheit und dem Atmosphären-Roboter überführt wird, während der Atmosphären-Roboter nicht auf dem Gleitschaft gleitet.
  • Bei der vorliegenden Erfindung können verschiedene Kombinationen der oben beschriebenen Elemente angenommen werden, und die vorliegende Erfindung schließt diese verschiedenen Kombinationen ein.
  • Zwecks Zusammenfassung der Erfindung und der gegenüber dem Stand der Technik erreichten Vorteile wurden oben gewisse Gegenstände und Vorteile der Erfindung beschrieben. Es versteht sich selbstverständlich, daß nicht alle diese Aufgaben oder Vorteile gemäß einer beliebigen besonderen Ausführungsform der Erfindung notwendigerweise alle erreicht werden können. So erkennt der Fachmann beispielsweise, daß die Erfindung in einer Weise beispielhaft dargestellt oder ausgeführt ist, daß ein Vorteil oder eine Gruppe von Vorteilen erreicht oder optimiert wird, wie hier gelehrt wird, ohne daß notwendigerweise andere Aufgaben oder Vorteile, wie sie hier gelehrt oder vorgeschlagen sind, erreicht werden.
  • Weitere Merkmale, Aspekte und Vorteile dieser Erfindung ergeben sich aus der ausführlichen Beschreibung der bevorzugten Ausführungsformen, die nun folgen.
  • Kurze Beschreibung der Zeichnungen
  • Diese und andere Merkmale dieser Erfindung werden nun unter Bezugnahme auf die Zeichnungen bevorzugter Ausführungsformen beschrieben, die zu Darstellungszwecken vorgesehen sind und die Erfindung nicht begrenzen sollen.
  • 1(a) zeigt eine Grundkonzeption einer Vorrichtung zur Herstellung eines Halbleiters gemäß der vorliegenden Erfindung. 1(b) zeigt die Vorderansicht, und 1(c) zeigt die Seitenansicht.
  • 2(a) bis (d) zeigen eine Betriebsfolge einer Halbleiterherstellungsvorrichtung gemäß der vorliegenden Erfindung.
  • 3 zeigt einen Teilquerschnitt einer Ausführungsform, bei welcher die in 1 gezeigten Beladeschleusenkammern in einer vertikalen Richtung gestapelt sind, wobei ein zweilagiger Aufbau gebildet wird.
  • 4 zeigt eine Draufsicht auf eine Ausführungsform, bei welcher zwei der Module, die in 1 gezeigt sind, linear in einer horizontalen Richtung angeordnet sind.
  • 5 zeigt eine Draufsicht einer Ausführungsform, bei welcher die linear angeordneten Module einander zu gerichtet angeordnet sind.
  • 6 zeigt eine Ausführungsform eines Gasleitungssystems, welches für eine Halbleiterherstellungsvorrichtung verwendet wird, die das Abscheiden gleichzeitig in zwei Reaktoren durchführt.
  • 7 zeigt eine Ausführungsform eines Gasleitungssystems, welches für eine Halbleiterherstellungsvorrichtung verwendet wird, die wechselweise die Abscheidung und Reinigung in zwei Reaktoren durchführt.
  • 8 ist eine schematische Querschnittsansicht einer Reaktoreinheit gemäß der vorliegenden Erfindung, wobei ein Halbleitersubstrat dargestellt ist, welches gerade überführt wird.
  • 9 ist ein schematischer Querschnitt einer Reaktoreinheit gemäß der vorliegenden Erfindung, wobei gerade ein Halbleitersubstrat in Verarbeitung gezeigt ist.
  • 10 ist eine vergrößerte Ansicht des in 9 gezeigten Teils a.
  • 11(a) zeigt ein anderes Gasleitungssystem gemäß der vorliegenden Erfindung. 11(b) zeigt ein Gaszuführsystem für den Reaktor, der in 11(a) in einem Gasbehälter (111) vorgesehen ist.
  • 12(a) ist ein Querschnitt einer anderen Reaktoreinheit gemäß der vorliegenden Erfindung. 12(b) ist eine vergrößerte Ansicht des in 12(a) gezeigten Bereiches b.
  • 13 zeigt einen Teilquerschnitt einer anderen Ausführungsform einer Halbleiterherstellungsvorrichtung gemäß der vorliegenden Erfindung.
  • 14 zeigt einen Atmosphären-Roboter, welcher Wafer zwischen einer Kassette und einem FOUP (front opening unified Port = einheitlicher Halter mit Vorderöffnung) und einem anderen Atmosphären-Roboter zum Beladen/Entladen von Wafern in/aus einen/einem Reaktor überführt.
  • In den Figuren verwendete Hauptsymbole sind folgende: 1: Reaktor; 2: Absperrventil; 3: Beladeschleusenkammer; 4: Substratüberführungsmechanismus; 5: Atmosphären-Roboter; 20: Halbleitersubstrat; 21: Prallplattenventil; 22: Suszeptor; 23: Substratunterstützungsstift.
  • Ausführliche Beschreibung der bevorzugten Ausführungsform
  • Die vorliegende Erfindung wird unten ausführlich unter Bezugnahme auf die Figuren beschrieben. 1 zeigt eine kompakte Halbleiterherstellungsvorrichtung für die Verarbeitung eines Einzelwafers zur Bildung eines dünnen Films auf einem Halbleitersubstrat gemäß der vor liegenden Erfindung. 1(a) zeigt die Vorrichtung in Grundkonzeption, 1(b) zeigt sie in einer Vorderansicht, und 1(c) zeigt sie in einer Seitenansicht. Die Halbleiterherstellungsvorrichtung weist eine modularisierte Reaktoreinheit auf, die später beschrieben wird, eine AFE (atmospheric front end = Atmosphären-Vorderende) Einheit (ein Abschnitt schließt einen Atmosphären-Roboter ein, um ein Substrat in einer Kassette oder einem FOUP (front opening unified port = einheitlicher Halter mit Vorderöffnung) 6 in eine Beladeschleusenkammer hineinzubringen und aus dieser herauszunehmen) und eine Beladeanschlußeinheit, in welcher die Kassette oder der FOUP 6 vorgesehen ist. Die modularisierte Reaktoreinheit weist zwei Einheiten auf, indem sie nebeneinander verbunden sind. Jede Einheit weist einen Reaktor 1 auf für die Entwicklung eines Films auf einem Halbleitersubstrat, weist eine Beladeschleusenkammer 3, um das Halbleitersubstrat in einem Vakuum warten zu lassen, wobei die Beladeschleusenkammer über ein Absperrventil 2 direkt mit dem Reaktor verbunden ist, und einen in der Beladeschleusenkammer 3 vorgesehenen Überführungsmechanismus für das Substrat auf, der einen dünnen Gelenkarmschaft hat, um ein Halbleitersubstrat in dem Reaktor zu überführen, und der ein Halbleitersubstrat in einer geradlinigen Richtung bewegt. Bei dieser Ausführungsform ist die Beladeschleusenkammer 3 in einer vertikalen Richtung einlagig.
  • Für Gelenk- bzw. Verknüpfungsverfahren für einen Arm gibt es verschiedene Verfahren, einschließlich eines Verfahrens mit einem Draht oder einem Zeitgeberriemen, der in dem Arm eingebaut ist, eines pantographischen Verfahrens und eines Verfahrens, bei dem eine Führung extern für die Bewegung des Arms in einer geraden Linie eingebaut ist. Das Verfahren mit einem Draht oder einem Zeitgeberriemen, der in dem Arm eingebaut ist, kann die Größe des Arms im Verhältnis zu der Oberfläche eines Halbleitersubstrates reduzieren. Das Pantographenverfahren oder das Verfahren, bei dem eine Führung extern eingebaut ist, hat eine große Oberfläche, ist aber in der Lage, die Dicke eines Arms zu verringern.
  • Zum Beispiel weist das vorstehend erwähnte, eingebaute Verfahren den ersten Anschluß bzw. die erste Verbindung auf, deren eines Ende drehbar und bewegbar an einem Drehschaft angebracht ist, wobei die zweite Verbindung drehbar und bewegbar an dem anderen Ende der ersten Verbindung mit einem Stift angebracht ist, und weist ein Blatt für die Anordnung eines Halbleitersubstrates auf, wobei dieses Blatt drehbar und bewegbar an dem anderen Ende der zweiten Verbindung mit einem Stift angebracht ist. Jeder Stift und der Drehschaft sind durch einen Draht oder einen Zeitgeberriemen verbunden. Das Blatt bewegt sich in einer geradlinigen Richtung nur durch die Drehung des Drehschaftes. Der Armschaft, in welchem sich das Blatt in einer geraden Linie nur durch den drehenden Schaft bewegt, ist „Einarmschaft" genannt.
  • Durch Verwendung eines Verbindungsarms in einem Substratübergangsmechanismus 4 ist es möglich, den Arm in sehr kompakter Weise unterzubringen. Durch Verringerung der Armdicke wird Totraum weiter reduziert. Ein Roboter vom Verbindungstyp ist von der Firma JEL Corporation, Hiroshima, Japan (http://www.iel-robot.co.ip) wie zum Beispiel #DVA170-01 erhältlich.
  • 13 zeigt eine Teilseitenansicht einer anderen Ausführungsform einer Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung. Ein Abschnitt mit gestrichelten Linien zeigt eine Vakuumzone innerhalb einer Kammer. Wie in 13 gezeigt ist, dürften bei dieser Ausführungsform zwei Beladeschleusenkammern 131 und 132 nebeneinander vorgesehen sein, aber jede Beladeschleusenkammer (131 und 132) ist über einen Verteiler 135 kommunizierend verbunden, der unter den Kammern vorgesehen ist, wobei praktisch eine Beladeschleusenkammer gebildet wird. Unter den Beladeschleusenkammern 131 und 132 sind Drehbetätigungsglieder 133 und 134 für den Antrieb jedes Überführungsmechanismus für das Substrat vorgesehen. Der Verteiler 135 ist über ein Vakuum-Auslaßventil 136 und eine Rohrleitung angeschlossen. Somit wird eine Beladeschleusenkammer durch eine Ausströmleitung geleert.
  • Durch die oben beschriebenen Ausführungsformen kann eine kleine Kapazität von weniger als 101 selbst im Fall einer Beladeschleusenkammer realisiert werden, die ein Substrat mit einem Durchmesser von 300 mm aufnimmt. Infolgedessen wurde es möglich, die Zeit zu verkürzen, die zum Entleeren und Wiederaufbauen von atmosphärischem Druck erforderlich ist. Ferner wurde es durch das Modularisieren einer Reaktoreinheit möglich, den Totraum innerhalb der Reaktoreinheit minimal zu machen und die Aufstandsfläche 7 der gesamten Vorrichtung zu verringern.
  • Der Betrieb einer Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung wird im folgenden beschrieben: Die 2(a) bis (d) zeigen eine Betriebsfolge der Vorrichtung. In 2 bringt ein Atmosphären-Roboter ein Halbleitersubstrat 20 aus einer Kassette oder einem FOUP über ein Prallplattenventil 21 in jede Beladeschleusenkammer 3. Nach dem Hereinbringen des Halbleitersubstrates wird das Prallplattenventil 21 geschlossen, und Luft in der Beladeschleusenkammer 3 wird abgepumpt. In 2(b) wird ein Absperrventil 2 geöffnet, und das Halbleitersubstrat wird auf einen Suszeptor 22 in einem Reaktor 1 durch einen Substratüberführungsmechanismus 4, der einen Arm von Typ einer dünnen Verbindung hat, überführt. Weil der Substratübergangsmechanismus 4 mit dem Verbindungsarm sich nur in einer geraden Linie zwischen der Beladeschleusenkammer und dem Reaktor zurück- und vorbewegt, ist die Einstellung durch ein mechanisches Positionieren ausreichend, wobei ein kompliziertes Justieren ausgeschaltet ist. In 2(c) ragt ein Substrat-Unterstützungsstift 23 aus der Suszeptoroberfläche vor und trägt das Halbleitersubstrat 20. Der Arm des Substratüberführungsmechanismus 4 wird in die Beladeschleusenkammer gebracht, und das Absperrventil 2 wird geschlossen. In 2(d) hebt sich der Suszeptor 22, und das Halbleitersubstrat 20 wird auf der Oberfläche des Suszeptors 22 angeordnet. Danach beginnt die Ablagerung auf dem Halbleitersubstrat 20. Nach der Vollendung der Ablagerung, wobei man umgekehrt der Betriebsfolge in der Reihenfolge von 2(d) (c) → (b) → (a) folgt, wird das verarbeitete Halbleitersubstrat zu der Kassette oder dem FOUP überführt.
  • Gemäß einer anderen Ausführungsform nach der vorliegenden Erfindung, wie sie in 13 gezeigt ist, können beide Beladeschleusenkammern, da sie kommunizierend verbunden sind, gleichzeitig evakuiert werden und können Halbleiterwafer unter derselben Zeitsteuerung in die Reaktoren überführen. Folglich werden zwei Halbleiterwafer gleichzeitig verarbeitet.
  • Da mehrere Substrate gleichzeitig überführt werden können und zur selben Zeit die Ablagerung vorgenommen werden kann, wobei man sogar ein Verarbeitungssystem mit Einzelwafer benutzt, ist gemäß der vorliegenden Erfindung der Durchsatz hoch und der Prozeß ist stabil.
  • Ausführungsformen einer Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung werden im folgenden beschrieben: 3 zeigt eine mehrschichtige Vorrichtung zur Halbleiterherstellung, in welcher zwei Beladeschleusenkammern vertikal übereinander angeordnet sind. Wie in 3 gezeigt ist, weist die Vorrichtung zwei Niveaus von gegenüberliegenden Beladeschleusenkammern 30 und 36 und einen Reaktor 31 auf, der mit den Beladeschleusenkammern 30 und 36 auf zwei Niveaus verbunden ist. Innerhalb jeder Beladeschleusenkammer (30 und 36) sind jeweils Substratübergabemechanismen 37 und 38 vorgesehen. Der untere Substratübergabemechanismus 37 weist ein Blatt bzw. eine Platte auf, an der ein Stift 39 für die Anordnung eines Arms mit dünner Verbindung und eines Substrats oder für das Führen eines Arms mit dünner Verbindung und eines Substrats angebracht ist. Der untere Übergabemechanismus für das Substrat unterstützt ein Halbleitersubstrat von dem Boden, nachdem das Substrat auf den an dem Blatt angebrachten Stift 39 angeordnet ist. Anders als der untere Übertragungsmechanismus für das Substrat hat der obere Mechanismus 38 ein Blatt und eine Maschenzunge 40 mit Steppfuß, um das Halbleitersubstrat von oben zu halten. Bei dieser Ausführungsform besitzt der Reaktor 31, wie ausführlich nachfolgend beschrieben wird, eine Dichtplatte 34, um eine schmale Reaktionszone 35 dadurch zu bilden, daß eine Dichtoberfläche 33 und ein Suszeptormechanismus 32 berührt werden, der in der Lage ist, sich in vertikaler Richtung zu bewegen.
  • Weil bei dieser Ausführungsform mehr Substrate verarbeitet werden können, ohne daß die Aufstandsfläche und die Vorderfläche erhöht sind, ist der Durchsatz verbessert. Weil jede Beladeschleusenkammer auf zwei Niveaus unabhängig einen Substratübergangmechanismus besitzt, wenn eine Beladeschleusenkammer verwendet wird, befindet sich immer ein Halbleitersubstrat in der anderen Beladeschleusenkammer im Wartezustand. Infolgedessen kann der Reaktor eine kontinuierliche Verarbeitung vornehmen, ohne durch die Übergabezeit des Substrats beschränkt zu sein.
  • 4 zeigt eine andere Ausführungsform einer Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung. Wie in 4 gezeigt, weist die Vorrichtung zwei Module auf, die linear in horizontaler Richtung verbunden sind. Zwei Modulen ist ein AFE gemeinsam. Es kann eine beliebige Anzahl von Modulen größer als zwei verbunden sein.
  • Weil bei dieser Ausführungsform jeweils viele Substrate verarbeitet werden können, ist der Durchsatz verbessert. Ohne Beschränkung auf die Anzahl von Modulen pro Vorrichtung kann sie jedem Produktionsmaßstab bzw. jedem Produktionsrahmen Rechnung tragen, von einer kleinen Menge bis zur Massenproduktion. Insbesondere ist eine Ausführungsform mit einer niedrigen Anzahl von Modulen effektiv, denn eine Erhöhung der Aufstandsfläche ist gering.
  • 5 zeigt eine andere Ausführungsform einer Vorrichtung zur Halbleiterherstellung. Bei dieser Ausführungsform werden zwei Module, die in einer horizontalen Richtung linear verbunden sind, so angeordnet, daß sie einander zu gerichtet sind. Ein AFE ist den vier Modulen gemeinsam. Jede Anzahl von Modulen größer als zwei kann verbunden werden. Bei dieser Ausführungsform ist unbeachtlich der Anzahl der verbundenen Module die Aufstandsfläche fest. Zusätzlich kann eine Prüfungsvorrichtung 50 für das Prüfen verarbeiteter Halbleitersubstrate verbunden sein. Mit diesem Merkmal kann ein Prüfungsprozeß zwischen Haibleitersubstratverarbeitungen eingeschlossen werden. Bei der in 5 gezeigten Vorrichtung weist ein AFE eine erste AFE-Einheit 51 auf, die mit einer Kassette oder einem FOUP verbunden ist, und eine zweite AFE-Einheit 52 auf, die mit jedem Modul verbunden ist. In der ersten AFE-Einheit 51 ist der erste Atmosphären-Roboter 53 mit einem Armschaft, einem Anhebe- und einem Drehschaft versehen, der 25 Substratschlitze und einen Verbindungsarm aufweist. Wie in 14 gezeigt ist, nimmt dieser erste Atmosphären-Roboter 53 gemeinsam 25 Substrate in Substratschlitze 172 aus der Kassette oder dem FOUP 6, der 25 Substratplatten hält, und dreht sich um die Drehachse, bis er eine Position erreicht, aus welcher er die Substrate zu dem zweiten Atmosphären-Roboter in der zweiten AFE-Einheit 52 liefern kann. Ein Sensor oder Sensoren 173 ist oder sind an jedem Substratschlitz installiert, und es wird eine Konfigurationsinformation eines Substrates innerhalb der Kassette oder des FOUP 6 („zuordnen") erhalten. Innerhalb der ersten AFE-Einheit können mehrere erste Atmosphären-Roboter 53 angeordnet sein. Der zweite Atmosphären-Roboter 54 kann Substrate ohne einen Gleitschaft von dem ersten Atmosphären-Roboter 53 aufnehmen oder ohne einen Gleitschaft zu diesem zuführen.
  • Die in 5 gezeigte Ausführungsform behält die feste Aufstandsfläche bei und bildet ein Modul mit freiem Aufbau mit der Möglichkeit, daß jeweils viele Substrate verarbeitet werden, wobei der Durchsatz verbessert wird. Weil der erste Atmosphären-Roboter nicht einen Gleitschaft besitzt, können der Raum und die Kosten der Vorrichtung gedämpft werden. Außerdem kann wegen der an den Substratschlitzen angebrachten Sensoren das Zuordnen leichter werden, und die Übergabezeit kann verringert werden. Ferner kann der erste Atmosphären-Roboter als Kühlphase zum Kühlen eines von einem Reaktor erwärmten Substrates verwendet werden.
  • Ein Gasleitungssystem der Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung wird im folgenden beschrieben: 6 zeigt eine bevorzugte Ausführungsform eines Gasleitungssystems für Reaktoren in einem Modul gemäß der vorliegenden Erfindung. Bei dem erfindungsgemäßen Modul ist es, weil die Ablagerung gleichzeitig auf zwei Halbleitersubstraten durchgeführt werden kann, vorzugsweise wünschenswert, eine Gasleitung und eine Auslaßleitung für zwei Reaktoren vorzusehen. Eine in 6 gezeigte Gasleitung für Reaktoren weist eine Gasleitung auf, die eine Gasquelle 80, einen Flußteiler 61, der mit der Gasquelle 60 für die gleichmäßige Verteilung des Quellengases mit zwei Reaktoren verbunden ist, und zwar nach dem Mischen des Quellengases, welches aus der Gasquelle 60 zugeführt wurde, und Gasrückschlagventile 62 bzw. 62' auf, die zwischen dem Flußteiler 61 und jedem Reaktor (66 und 67) verbunden sind, weist eine Ausgasleitung auf, die eine Ablaßpumpe 64 einschließt, weist APCs (einstellbare Drosselventile mit Selbstdrucksteuerung) (63 und 63'), die mit der Ablaßpumpe 64 verbunden und für jeden Reaktor (66 und 67) vorgesehen sind, und Vakuumauslaßventile 65 bzw. 65' auf, die zwischen einem APC und jedem Reaktor (66 und 67) verbunden sind. Der Flußteiler 61 hat die Fähigkeit, einen Gasfluß von 0 bis 10 SLM auszugeben, der zu der Primärseite in gleichen Mengen von 0 bis 5 SLM zu der Sekundärseite eingegeben wurde. APC-Ventile und Auslaßdrosselventile sind von MKS JAPAN, INC., Tokio, Japan verfügbar, wie zum Beispiel #252E oder #153F.
  • Der Betrieb der in 6 gezeigten Ausführungsform wird im folgenden beschrieben: Die Vakuumauslaßventile 65 und 65' werden geöffnet, Luft innerhalb der Reaktoren 66 und 67 wird von einer Vakuumpumpe 64 abgepumpt, und die Halbleitersubstrate werden geladen. Die Gasrückschlagventile 62 und 62' öffnen sich, und Quellengas wird in die Reaktoren gebracht. Bei einer Kombination der Flußteiler 61 und APCs 63 und 63' wird zu dieser Zeit eine gleiche Menge Quellengas jeweils in jeden Reaktor (66 und 67) gebracht. Eine Hochfrequenzspannung wird an die Halbleitersubstrate in den Reaktoren von den Hochfrequenzgeneratoren 68 und 68' angelegt, wobei eine filmbildende Reaktion in beiden Reaktoren erzeugt wird.
  • Bei der in 6 gezeigten Ausführungsform wird der Druck durch ein APC für jeden Reaktor gesteuert, und Gas in jedem Reaktor wird unter Verwendung einer einzelnen Pumpe abgeführt. Durch Verwenden dieser unabhängigen Drucksteuerung und den oben erwähnten Flußteiler kann die Ablagerung unabhängig in derselben Weise unter Verwendung einer Gasleitung pro Reaktor gesteuert werden, und zwischen den Verarbeitungskammern kann ein individueller Unterschied bewältigt werden. Da ferner jeder Reaktor ein Gasrückschlagventil und ein Vakuumauslaßventil besitzt, kann die Verarbeitung unter Verwendung nur eines Reaktors erfolgen. Da ferner ein Gasleitungssystem eine Gasleitung und eine Auslaßleitung für zwei Reaktoren aufweist, können eine Arbeitsreduzierung und niedrigere Kosten erreicht werden.
  • Ein anderes Gasleitungssystem gemäß der vorliegenden Erfindung wird im folgenden beschrieben: 11(a) zeigt ein für eine andere Ausführungsform verwendetes Gasleitungssystem. Weil die Ablagerung gleichzeitig auf zwei Wafern erfolgen kann, ist es bei dieser Ausführungsform bevorzugt, eine Gasleitung und eine Auslaßleitung für zwei Reaktoren vorzusehen. Eine Gasleitung für die Reaktoren weist eine Leitung auf, bis sie ein Regulierfeld 110 und ein Gasfeld 111 erreicht. In dem Gasfeld 111 zweigt eine Gasleitung ab. Ein Gasrückschlagventil 112 ist für jeden Reaktor vorgesehen. Für eine Auslaßleitung sind ein APC (auto Pressure controller = Selbstdrucksteuergerät) 113 und eine (nicht gezeigte) Vakuumanzeige für jeden Reaktor vorgesehen, und ein Auslaßventil 114 und eine Trockenpumpe 115 sind jedem Reaktor gemeinsam. 11(b) zeigt ein Gaszuführsystem für den in dem Gasfeld 111 vorgesehenen Reaktor L und Reaktor R. Diese Figur zeigt zum Beispiel drei Gaseinlässe. Gas wird jedem Reaktor über ein manuelles Rückschlagventil 171, einen Gasfilter 170, einen Druckregler 169, einen Druckwandler 168 und ein MFC (mass flow controller = Massenflußsteuergerät) 166 zugeführt.
  • Bei dieser Ausführungsform wird Druck individuell von einem APC 113 für jeden Reaktor gesteuert, und Gas in jedem Reaktor wird unter Verwendung einer Einzelpumpe (Trockenpumpe 115) abgepumpt, die mit einem Pumpenschnellventil 155 und einem langsamen Pumpenventil 114 versehen ist. Ein Manometer 154 und ein Ein-Atom-Schalter 153 sind zwischen jedem Reaktor und dem APC 113 vorgesehen. Die Gaszufuhr wird für jeden Reaktor von einem MFC gesteuert. Eine Kombination des APC und des MFC kann unabhängig die Ablagerung in derselben Weise steuern, wie bei Verwendung einer Gasleitung pro Reaktor. Weil jeder Reaktor ein Gasrückschlagventil 112 besitzt, kann zusätzlich die Verarbeitung unter Verwendung nur eines Reaktors erfolgen, und die Arbeitsreduzierung und geringere Kosten können erreicht werden. Ferner wird ein Gas (NF3, Ar) 158 aus dem Gasfeld 111 sowohl zum Reaktor L als auch dem Reaktor R durch ein RGG (reactive gas generator = Generator für reaktives Gas) 157 und ein RGG OUT-Ventil 156 zugeführt. Funkfrequenzenergie wird auf jeden Reaktor dadurch angewendet, daß eine Funkfrequenz aus einem Generator 150 für hohe Funkfrequenz und eine Funkfrequenz aus einem Generator 151 für niedrige Funkfrequenz unter Verwendung einer Anpassungsbox 152 gemischt werden.
  • Ferner zeigt 11 ein Gasflußsystem in Verbindung mit einer Beladeschleusenkammer, wobei das System mit dem Gasfeld 111 verbunden ist. In der Figur ist „IOC„ (input-output-chamber = Eingangs-Ausgangskammer) eine Beladeschleusenkammer. Das Gasflußsystem der Beladeschleusenkammer weist ähnliche Elemente zu denen auf, die in dem Reaktor verwendet werden. D. h. Gas wird aus dem Gasfeld 111 zu einem Flußmeter 160 zugeführt, welches mit einem Verfüllventil 165 parallel zu einem Massenflußsteuergerät 159 versehen ist, das mit einem N2-Pumpenventil 167 versehen ist. Die Beladeschleusenkammern (IOC L und IOC R) werden unter Verwendung einer Trockenpumpe durch ein langsames Pumpenventil 165 und ein schnelles Pumpenventil 164 entleert. Um einen Gasfluß zu steuern, sind ein Überdruckschalter 161, eine Kristallanzeige 162 und ein Ein-Atom-Schalter 163 in dem Gasflußsystem vorgesehen.
  • 7 zeigt eine Variante der in 6 gezeigten Ausführungsform. Bei der Ausführungsform nach 7 weist ein System mit elektrischer und Gasleitung einen RF-Generator (Funkfrequenzgenerator), eine Gasleitung und zwei Auslaßleitungen für zwei Reaktoren auf. Das Gasleitungssystem für zwei Reaktoren, das in 7 gezeigt ist, weist eine Gasleitung, die eine Gasquelle 60 einschließt, und Gasrückschlagventile 62 und 62' auf, die jeweils für jeden Reaktor vorgesehen sind und zwischen der Gasquelle 60 und jedem Reaktor (66 und 67) verbunden sind und zwei Auslaßleitungen auf, welche Auslaßpumpen 64, 64', APCs 63 und 63' einschließen, die jeweils für jeden Reaktor vorgesehen sind, mit Verbindung zu den Auslaßpumpen 64 und 64' und Vakuumauslaßventilen 65 und 65', die jeweils für jeden Reaktor vorgesehen sind, und mit Verbindung zwischen dem APC und jedem Reaktor. Durch ein Schaltmittel 71 ist ein RF-Generator (Funkfrequenzgenerator) 70 elektrisch abwechselnd mit jeder Kammer (66 und 67) verbunden.
  • Der Betrieb der in 7 gezeigten Variante wird im folgenden beschrieben: Die Vakuumauslaßventile 65 und 65' werden geöffnet, und Luft in den Reaktoren 66 und 67 wird von den Auslaßpumpen 64 und 64' abgepumpt. Zuerst öffnet sich das Gasrückschlagventil 62 für einen Reaktor (zum Beispiel 66), der für die Ablagerung verwendet wird, und ein Quellengas wird in den Reaktor 66 gebracht. Hochfrequenzspannung wird auf ein Halbleitersubstrat in dem Reaktor 66 durch den RF-Generator 70 aufgebracht, der durch das Schaltmittel 71 zwischengeschaltet ist, um mit dem Reaktor 66 verbunden zu werden, und es erfolgt eine filmbildende Reaktion. Ein RGG-OUT-Ventil für einen Reaktor (zum Beispiel 67) öffnet sich für seine Reinigung, ein Reinigungsgas wird in den Reaktor 67 gebracht, und eine Ätzreaktion erfolgt in dem Reaktor 67. Bei der in 7 gezeigten Ausführungsform werden auf diese Weise das Reinigen und die Ablagerung in den betreffenden Reaktoren zur selben Zeit durchgeführt.
  • Bei der in 7 gezeigten Ausführungsform kann das Reinigen und die Ablagerung abwechselnd dadurch durchgeführt werden, daß man abwechselnd die Substrate in die zwei Reaktoren bringt und aus diesen heraus bringt, indem man den RF-Generator 70 und die Gasrückschlagventile 62 und 62' schaltet. Da ferner dieses System einen RF-Generator und eine Gasleitung für zwei Reaktoren aufweist, werden Arbeitsreduzierung und niedrigere Kosten erreicht.
  • Schließlich wird eine Reaktoreinheit gemäß der vorliegenden Erfindung im folgenden beschrieben: 8 zeigt eine bevorzugte Ausführungsform einer Reaktoreinheit gemäß der vorliegenden Erfindung. Eine Reaktoreinheit 80 für die Verarbeitung von Substraten Platte um Platte weist einen Suszeptor 81 für das Aufbringen eines Halbleitersubstrates 93, eine Dichtplatte 82, die unter dem Suszeptor 81 angeordnet ist und denselben Schaft mit dem Suszeptor teilt, ein Anhebemittel 83, 84 und 85 zum Bewegen des Suszeptors 81 und der Dichtplatte 82 in einer vertikalen Richtung, einen Duschkopf 92, um Gas hereinzubringen, der am Oberboden des Reaktors 80 vorgesehen ist, ein Führungsmittel 86 mit einer Dichtfläche 10 auf seiner Bodenoberfläche, welches nahe dem Duschkopf vorgesehen ist und sich am Umfang längs der Oberfläche einer Innenwand des Reaktors befindet, eine Scheibe 89, die zwischen dem Suszeptor und der Dichtplatte vorgesehen ist und denselben Schaft mit dem Suszeptor 81 teilt, einen Substratanhestift 87, welcher durch den Suszeptor 81 hindurchgeht und von der Scheibe 89 getragen wird, ein schwimmendes elastisches Material 88, dessen eines Ende mit der Scheibe 89 in Eingriff ist und dessen anderes Ende an einem konkaven Abschnitt angebracht ist, der auf der Dichtplatte 82 vorgesehen ist, einen Suszeptorschaft 95 für die Halterung des Suszeptors 81, wobei sich der Schaft 95 in einer vertikalen Richtung erstreckt, einen zylindrischen Schaft 97 für die Abstützung der Dichtplatte 82, eine Suszeptorplatte mit Intervalleinstellung, die an dem unteren Ende des Suszeptorschaftes 95 installiert ist, einen Gleittisch 96 für die Übermittlung eines Schubes, der von dem Anhebemittel 83, 84 und 85 zu dem zylindrischen Schaft 97 erzeugt wird, wobei der Tisch 96 am unteren Ende des zylindrischen Schaftes 97 vorgesehen ist, und ein elastisches Druckmaterial 94 auf, um einen Schub zu übermitteln, der von dem Anhebemittel zu dem Gleittisch 96 erzeugt wird, wobei das Material 94 zwischen dem Gleittisch 96 und der Anhebeplatte 84 vorgesehen ist.
  • Der Radius der Dichtplatte 82 ist größer als der des Suszeptors 81, und sein Umfang ragt nach oben hervor. Vorzugsweise ist ein O-Ring auf diesem vorstehenden Abschnitt vorgesehen, und die Dichtplatte 82 wird mit einer Dichtoberfläche 107 an der Bodenoberfläche des Rohrleitungsmittels 86 abgedichtet. Der konkave Abschnitt auf der Oberfläche der Dichtplatte 82 ist vorgesehen, um das schwimmende elastische Material 88 in eine abgedichtete Position zu bringen. Für das schwimmende elastische Material 88 können auch andere elastische Materialien verwendet werden, die vorzugsweise eine Feder aufweisen. Alternativ kann jede Zahl von schwimmenden elastischen Materialien mehr als eins verwendet werden.
  • Die Scheibe 89 weist eine dünne Scheibe auf, und ihr Radius ist größer als der des Suszeptors 81 und kleiner als der der Dichtplatte 82. In einer abgedichteten Position wird die Scheibe 89 von der Dichtoberfläche 197 des Rohrleitungsmittels 86 und der Dichtplatte 82 gehalten (siehe 10).
  • Ein Anhebemittel weist eine Kugelrollspindel 83, eine Anhebeplatte 84 und ein Antriebsmittel 85 auf. Das Antriebsmittel 85 ist vorzugsweise ein Impulsmotor, es können aber auch andere Mittel verwendet werden. Zusätzlich zu einer Kombination der Kugelrollspindel 83 und des Antriebsmittels 85 kann auch ein pneumatischer Zylinder verwendet werden.
  • Die Suszeptorwelle 95 geht durch das Innere des zylindrischen Schaftes 97 hindurch. Nahe einer Öffnung oben an dem zylindrischen Schaft 97 ist eine O-Ringdichtung 90 vorgesehen. Das Äußere des zylindrischen Schaftes 97 wird durch einen Balg 98 abgedeckt. Das Bodenende des Suszeptorschaftes 95 ist an der Intervalleinstellplatte 91 für den Suszeptor angebracht. Intervalle bzw. Bereiche und Niveaus zwischen dem Duschkopf 92 und dem Haltleitersubstrat 93 können unabhängig von der Abdichtplatte 82 eingestellt werden.
  • Das Rohrleitungsmittel 86 weist einen Gasdurchgang 100 auf zum Entleeren von Gas in einer Verarbeitungskammer, die unten beschrieben wird, und weist einen inaktiven (oder inerten) Gaseinlaßanschluß 101 auf, um inaktives Gas hereinzubringen und zu verhindern, daß ein Reaktionsgas während der Ablagerung von der Suszeptoroberfläche in einen unteren Abschnitt fließt. Das Gas in der Verarbeitungskammer wird aus dem Auslaßanschluß 99, der auf der Seitenwand des Reaktors vorgesehen ist, entleert, nachdem es durch den Gasdurchlaß gegangen ist. Das inaktive Gas (vorzugsweise Argongas) wird aus dem Einlaßanschluß 101 für inaktives Gas in die Verarbeitungskammer gebracht und reinigt ein Reaktionsgas, welches von der Suszeptoroberfläche in den unteren Abschnitt fließt.
  • Mindestens drei Substratanhebestifte 87 sind für die Halterung des Halbleitersubstrats 93 auf dem Suszeptor 81 vorgesehen. Eine Stufe 102 ist an der Spitze des Substratanhebestifts 87 vorgesehen. Der Umfangsabschnitt des Halbleiters 93 kommt mit der Stufe 102 in Eingriff, um das Halbleitersubstrat 93 abzustützen (siehe 10). Vorzugsweise ist die Breite der Stufe 102, welche den Rand des Halbleitersubstrats 93 berührt, kleiner als 3 mm.
  • Das elastische Druckmaterial 94 weist vorzugsweise eine konische Feder auf, es kann aber auch ein anderes Federmaterial benutzt werden.
  • Wenn das Halbleitersubstrat 93 überführt wird, drückt gemäß Darstellung in 8 das schwimmende elastische Material 88 die Scheibe 89 auf die Bodenoberfläche des Suszeptors 81 durch Elastizität. Bei diesem Aufbau ragt der Substratanhebestift 87 von der Suszeptoroberfläche vor und kann das Halbleitersubstrat 93 mit der Stufe 102 an seiner Spitze aufnehmen. Die Stufe 102 fungiert nicht nur als Führung, wenn das Halbleitersubstrat überführt wird, sondern dient auch dazu zu verhindern, daß das Substrat während der Verarbeitung rutscht.
  • 9 zeigt eine Positionslage jedes Elementes, wenn das Halbleitersubstrat verarbeitet wird. 10 ist eine vergrößerte Ansicht des Bereiches a in 9. Die Kugelrollspindel 83 dreht durch das Antriebsmittel 85. Zur gleichen Zeit hebt sich die Anhebeplatte 84 hoch, und ein Schub wird auf die Gleitplatte 96 durch das elastische Preßmaterial 94 übertragen. Sobald sich die Gleitplatte 94 hebt, heben sich der zylindrische Schaft 97 und der Suszeptorschaft 95, und der Balg 98 zieht sich zusammen.
  • Der vorstehende Umfang der Dichtplatte 82 berührt kurz die Dichtfläche 10 auf dem Soden des Rohrleitungsmittels 86 und bildet eine Dichtung. Bei diesem Aufbau wird das Innere des Reaktors 80 in eine Überführungskammer 104 und eine Verarbeitungskammer 103 aufgeteilt. Wenn die Dichtplatte abgedichtet ist, wird der Umfang der Scheibe 89 durch die Bodenoberfläche des Rohrleitungsmittels 86 und einen Abschnitt gehalten, der mehr einwärts liegt als der vorstehende Abschnitt der Dichtplatte 82. Hierdurch wird ein Spalt zwischen der Scheibe 89 und der Dichtplatte 82 ausgeschaltet. Gleichzeitig zieht sich das schwimmende elastische Material 88 zusammen und wird vollständig in den auf der Oberfläche der Dichtplatte 82 vorgesehenen konkaven Abschnitt hineingenommen.
  • In einer abgedichteten Position senkt sich die Spitze des Substratanhebestifts 87 auf eine Position des Suszeptoroberflächenniveaus oder niedriger als das Suszeptoroberflächenniveau. Hierdurch wird das Halbleitersubstrat 93 auf der Oberfläche des Suszeptors 81 angeordnet. Eine kleine Reaktionszone 105 wird zwischen dem Duschkopf 92 und dem Halbleitersubstrat 93 gebildet. Ein Intervall bzw. Abstand zwischen dem Duschkopf 92 und dem Halbleitersubstrat 93 wird unabhängig von der Dichtplatte 82 dadurch eingestellt, daß die Suszeptorplatte mit der Abstandseinstellung angehoben, abgesenkt oder gekippt wird. Die Einstellung erfolgt durch Drehen mehrerer Einstellschrauben 106, die an der Suszeptorplatte 91 für Abstandseinstellung angebracht sind.
  • Wenn die Anhebeplatte 84 sich weiter anhebt und das elastische Druckmaterial 94 um vorzugsweise 1 mm auf 3 mm gepreßt wird, wird die Abdichtplatte 82 sicherer abgedichtet. Der Umfang der Abdichtplatte 82 wird durch Elastizität gegen die Abdichtfläche 107 des Rohrleitungsmittels 86 gedrückt. Hierdurch wird eine gute Dichtkraft erreicht. Durch eine Beobachtung, wieviel des elastischen Druckmaterials 94 versetzt wird, kann bestätigt werden, ob eine sichere Abdichtung erreicht ist oder nicht.
  • Nach der Vervollständigung des letztendlichen Pressens der Abdichtplatte 82 wird Gas in der Verarbeitungskammer 103 über einen Gasdurchgang 100 des Rohrleitungsmittels 86 durch den Auslaßanschluß 99 nach außen entleert. Zur gleichen Zeit wird Argongas von dem Einlaßanschluß 101 für inaktives Gas hereingebracht. Ein Reaktionsgas wird danach aus dem Duschkopf 92 hereingebracht, und die Verarbeitung beginnt.
  • Eine Reaktoreinheit gemäß der obigen Ausführungsform der vorliegenden Erfindung ermöglicht es, die Größe einer Reaktionskammer zu reduzieren und die Zeit für die Ablagerung und Reinigung zu verkürzen. Ferner wird ein Abdichten eines Durchgangsloches des Substratanhebestifts ausgeschaltet. Weiterhin sorgt die Ausführungsform für eine einfache Dichtanordnung, mit welcher ein sicheres Abdichten erreicht werden kann.
  • Eine andere Ausführungsform einer Reaktoreinheit gemäß der vorliegenden Erfindung wird beschrieben. 12(A) und (B) zeigen eine andere Ausführungsform einer Reaktoreinheit gemäß der vorliegenden Erfindung.
  • Eine Reaktoreinheit nach einer anderen Ausführungsform weist einen Suszeptor 120 auf, auf dem Halbleitersubstrate angeordnet sind, weist ein Anhebemittel 121 für das Bewegen des Suszeptors in einer vertikalen Richtung, einen Duschkopf 122, der an der Oberwand des Reaktors vorgesehen ist, um Gas hereinzubringen, ein Rohrleitungsmittel 123, das nahe dem Duschkopf 122 und umfangsmäßig längs der Oberfläche einer Innenwand des Reaktors vorgesehen ist, und eine kreisförmige Stauplatte 124 auf, welche denselben Schaft mit dem Rohrleitungsmittel 123 teilt und vorgesehen ist, um einen kleinen Spalt 125 an der Bodenfläche des Rohrleitungsmittels und einen kleinen Spalt 126 längs des Umfangs des Suszeptors zu erzeugen, wenn ein Film gebildet wird. Ein Reaktionsgas wird aus dem zwischen der Stauplatte 124 und dem Rohrleitungsmittel 123 gebildeten Spalt 125 abgezogen. Ein inaktives Gas (vorzugsweise Ar oder He) fließt vom Boden durch den zwischen der Stauplatte 124 und dem Suszeptor 120 gebildeten Spalt 126 zur Oberseite. Das Reinigen durch das inaktive Gas verhindert, daß ein Reaktionsgas oder Plasma (im Fall der PE-CVD) unter dem Suszeptor hindurchtritt. Die Stauplatte 124 und das Rohrleitungsmittel 123 weisen vorzugsweise Isolatoren auf, welche eine nicht notwendige Ablagerung verhindern.
  • Eine andere Ausführungsform kann die Größe einer Reaktionszone im Vergleich zu der bevorzugten Ausführungsform weiter verringern.
  • Herkömmliche Techniken ähnlich der vorliegenden Erfindung werden jetzt beschrieben, und Unterschiede zwischen ihnen werden geprüft. Zunächst werden Unterschiede zwischen der japanischen Patentoffenlegungsschrift Nr. 10-30183 („Herkömmliche Technik 1") und der vorliegenden Erfindung diskutiert. Bei der herkömmlichen Technik 1 wird eine Veraschungsvorrichtung ähnlich der vorliegenden Erfindung erwähnt. Ein Überführungsmechanismus innerhalb einer Beladeschleusenkammer ist dadurch gekennzeichnet, daß durch Drehen oder Bewegen eines Arms in einer geraden Linie eine Handeinheit, welche ein Halbleitersubstrat hält, aus einer Verarbeitungskammer herausgenommen oder in diese hineingegeben wird.
  • Bei einer bei der herkömmlichen Technik 1 erwähnten Ausführungsform wird für das Drehen des Arms ein Halbleitersubstrat durch Ziehen eines Bogens um den Drehschaft des Arms herum in eine Verarbeitungskammer überführt. Im Gegensatz dazu wird bei der Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung ein Halbleitersubstrat in einer geraden Linie überführt.
  • Ferner wird bei einer in der herkömmlichen Technik 1 erwähnten Ausführungsform zum Bewegen des Arms in einer geraden Linie ein Halbleitersubstrat durch einen Gleitschaft überführt. Im Gegensatz dazu wird bei dem Substratüberführungsmechanismus gemäß der vorliegenden Erfindung ein Halbleitersubstrat durch einen Armschaft überführt.
  • Weiterhin ist eine Reaktoreinheit bei der herkömmlichen Technik 1 nicht als Gruppe bzw. Gerätegruppe aufgebaut. Im Gegensatz dazu ist bei der Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung eine Reaktoreinheit modularisiert und kann als Gruppe bzw. Gerätegruppe konfiguriert sein. Eine der kennzeichnenden Eigenschaften der vorliegenden Erfindung besteht darin, daß die modularisierten Reaktoreinheiten als Gruppe durch AFEs konfiguriert sein können.
  • Wie oben beschrieben ist, kann man sehen, daß der Vorrichtungsraum und der Totraum bei der herkömmlichen Technik 1 im Vergleich zur vorliegenden Erfindung größer ist. Gemäß der vorliegenden Erfindung kann eine kleine Leistungsfähigkeit von weniger als 10 L für eine Beladeschleusenkammer, die ein Substrat mit einem Durchmesser von 300 mm aufnimmt, realisiert werden.
  • Zweitens werden Unterschiede zwischen der japanischen Patentoffenlegung Nr. 10-154739 („Herkömmliche Technik 2") und der vorliegenden Erfindung diskutiert. Bei der herkömmlichen Technik 2 wird eine Substratverarbeitungsvorrichtung beschrieben mit (a) einer Beladeschleusenkammer, (b) einer Überführungskammer, (c) mehr als einem Reaktor, wobei jeder mit der Überführungskammer verbunden ist und mehrere (praktisch zwei) Verarbeitungszonen hat, und (d) einem ersten Teil für die Substrathandhabung, welches innerhalb der Überführungskammer vorgesehen ist. Das erste Substrathandhabungsteil besitzt mehrere Substratbehandlungsblätter praktisch auf derselben Ebene, welche gleichzeitig mehrere (praktisch zwei) Halbleitersubstrate zwischen der Beladeschleusenkammer und mehr als einem Reaktor überführen, wobei gleichzeitig Halbleitersubstrate zu separaten Reaktoren bewegt werden. Ein Reaktionsgas wird aus mehr als einer Gasquelle auf jede Verarbeitungszone (praktisch zwei) in den Reaktor aufgeteilt. Gas in jeder Verarbeitungszone (praktisch zwei) wird unter Verwendung einer Einzelpumpe außerhalb des Reaktors abgeführt.
  • Erstens besitzt eine Substratverarbeitungsvorrichtung, die bei der herkömmlichen Technik 2 erwähnt ist, eine Überführungskammer und ein Substratbehandlungsteil, welches innerhalb der Überführungskammer vorgesehen ist. Im Gegensatz dazu besitzt die Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung nicht eine Überführungskammer, und die Beladeschleusenkammer ist direkt mit dem Reaktor verbunden.
  • Zweitens besitzt die Substratbehandlungsvorrichtung, die in der herkömmlichen Technik 2 erwähnt ist, mehrere Substrathandhabungsblätter, die praktisch auf derselben Ebene innerhalb derselben Kammer vorgesehen sind. Im Gegensatz dazu besitzt die Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung einen Substratüberführungsmechanismus, der unabhängig in jeder Beladeschleusenkammer vorgesehen ist.
  • Drittens ist bei der Substratbehandlungsvorrichtung, die in der konventionellen Technik 2 erwähnt ist, eine Einheit mit einem Reaktor und einer Beladeschleusenkammer nicht modularisiert. Im Gegensatz dazu ist bei der Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung eine Einheit mit einem Reaktor und einer Beladeschleusenkammer so modularisiert, daß mindestens zwei Einheiten nebeneinander verbunden sind.
  • Viertens wird bei der in der herkömmlichen Technik 2 erwähnten Substratbehandlungsvorrichtung ein Gasfluß einfach durch einen T-förmigen Anschluß aufgeteilt. Im Gegensatz dazu wird bei der Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung ein Flußteiler, der die Fähigkeit hat, einen Gasfluß von 0 bis 10 SLM, der auf der primären Seite eingegeben wird, in gleichen Mengen auf die sekundäre Seite zu verteilen, für die Aufteilung eines Gasflusses verwendet. Ferner besitzt die Substratbehandlungsvorrichtung, die in der herkömmlichen Technik 2 erwähnt ist, zwei Verarbeitungszonen in einem Reaktor, und Gas wird unter Verwendung einer Einzelpumpe nach dem Kombinieren von Auslaßanschlüssen der Verarbeitungszonen in dem Reaktor abgeführt. Im Gegensatz dazu ist bei der Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung jede Verarbeitungszone in dem Reaktor unabhängig, und Gas in jedem Reaktor wird unter Verwendung einer Einzelpumpe durch Steuern des Druckes für jeden Reaktor unter Verwendung eines APC usw. abgeführt.
  • Durch Verwenden dieser unabhängigen Drucksteuerung und den oben erwähnten Flußteiler, bei dem ein Gasfluß gleichmäßig aufgeteilt wird, kann die Ablagerung unabhängig in derselben Weise wie in dem Fall gesteuert werden, wo eine Gasleitung für jeden Reaktor verwendet wird. Ferner weist die Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung ein Gasrückschlagventil und ein Vakuumauslaßventil für jeden Reaktor auf. Obwohl die Konfiguration eine Gasleitung für zwei Reaktoren ist, kann das Verarbeiten bei Verwendung nur eines Reaktors durchgeführt werden.
  • Wie oben erwähnt, unterscheiden sich die Vorrichtung zur Halbleiterherstellung, die in den herkömmlichen Techniken 1 und 2 erwähnt ist, und die Vorrichtung zur Halbleiterherstellung gemäß der vorliegenden Erfindung in ihren Vorrichtungskonfigurationen, -Anordnungen und -Wirkungen.

Claims (24)

  1. Halbleiterverarbeitungsmodul mit geeigneter Ausgestaltung, um an eine Atmosphären-Robotereinheit angeschlossen zu werden, mit: (a) mehreren Einzelwafer-Verarbeitungseinheiten, die nebeneinander angeordnet sind, wobei jede Einheit aufweist: einen Reaktor (1) für die Behandlung eines Halbleitersubstrats (20), der in einer Abgasleitung ein Drucksteuerventil hat; und mindestens eine Beladeschleusenkammer (3) für das Überführen des Halbleitersubstrats in den Reaktor und aus diesem heraus in einem Vakuum, wobei die Beladeschleusenkammer ein Vorderende und ein Hinterende hat, das Hinterende unmittelbar mit dem Reaktor über ein Absperrventil (2) verbunden ist, das Vorderende geeignet ausgestaltet ist, um über ein Prallplattenventil (21) mit der Atmosphären-Robotereinheit (5) verbunden zu werden, wobei die Beladeschleusenkammer einen Vakuumroboter (4) aufweist mit angelenkten Armen mit einem Drehschaft zum Überführen des Halbleitersubstrats in den Reaktor und aus diesem heraus durch eine gerade Bewegung durch das Absperrventil; und (b) einem Gaszuführsystem, welches den mehreren Einheiten gemeinsam ist, wobei der Gasfluß jedes Reaktors von dem in jedem Reaktor vorgesehenen Drucksteuerventil gesteuert wird.
  2. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei das Drucksteuerventil ein Drosselventil (63, 63') mit Selbstdrucksteuerung (APC) ist.
  3. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei die Beladeschleusenkammern (131, 132) über einen Verteiler (135) miteinander verbunden sind, der sich an einen unteren Abschnitt jeder Beladeschleusenkammer anschließt, wodurch ein Vakuumbetrieb wie eine Beladeschleusenkammer gesteuert wird.
  4. Halbleiterverarbeitungsmodul nach Anspruch 1, das aus zwei Einzelwafer-Verarbeitungseinheiten (30, 36) besteht.
  5. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei jede Einheit zwei Beladeschleusenkammern (30, 36) hat, deren eine Kammer oben auf der anderen Kammer angeordnet ist,
  6. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei das Gaszuführsystem (60) mit einem Flußteiler (81) versehen ist, um Gas in gleichem Maß jedem Reaktor (66, 67) zuzuführen.
  7. Halbleiterverarbeitungsmodul nach Anspruch 6, ferner mit einem Gasrückschlagventil (62, 62') für jeden Reaktor zwischen dem Flußteiler und jedem Reaktor (66, 67).
  8. Halbleiterverarbeitungsmodul nach Anspruch 1, ferner mit einem Vakuumauslaßventil (65, 65') für jeden Reaktor zwischen dem Drucksteuerventil und dem Reaktor.
  9. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei das Gaszuführsystem für jeden Reaktor mit einer Massenflußsteuereinrichtung (166) versehen ist.
  10. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei das Gasauslaßventil den entsprechenden Reaktoren gemeinsam ist.
  11. Halbleiterverarbeitungsmodul nach Anspruch 1, ferner mit einem Hochfrequenzgenerator (150) und einem Schalter für das elektrische Verbinden des Hochfrequenzgenerators und eines der Reaktoren, wobei die Ablagerung bzw. Abscheidung und das Reinigen abwechselnd in den entsprechenden Reaktoren durchgeführt werden.
  12. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei der Reaktor (80) aufweist: einen Suszeptor (81), um ein Halbleitersubstrat (93) auf diesem anzuordnen; eine Dichtplatte (82), die unter dem Suszeptor und koaxial zu diesem vorgesehen ist und einen größeren Durchmesser hat als der Suszeptor sowie eine Abdichtfläche längs ihres Umfanges hat; eine Anhebe-/Absenkvorrichtung (83, 84, 85) zum Bewegen des Suszeptors und der Dichtung in einer vertikalen Richtung; einen an einer Oberwand des Reaktors vorgesehenen Duschkopf (92) zum Einführen von Gas; und ein Rohrleitungsteil (86), welches nahe dem Duschkopf vorgesehen ist und einen kreisförmigen Vorsprung hat, der längs einer Innenwand des Reaktors gebildet ist, wobei: (a) an einer Position, an welcher der Suszeptor und die Abdichtplatte sich erheben und die Abdichtoberfläche der Dichtplatte mit dem kreisförmigen Vorsprung des Rohrleitungsteils in Berührung kommt, das Innere des Reaktors durch die Abdichtplatte in ein oberes Reaktionsabteil und ein unteres Reaktionsabteil aufgeteilt wird, wobei in dem Reaktionsabteil ein Substrat auf dem Suszeptor behandelt wird; und (b) an einer Position, in welcher der Suszeptor und die Abdichtplatte sich absenken, ein Substrat über das Absperrventil überführt wird.
  13. Halbleiterverarbeitungsmodul nach Anspruch 12, wobei der Reaktor ferner aufweist: mindestens drei Substratanhebestifte (87), welche durch den Suszeptor für die Halterung eines Substrats über dem Suszeptor (81) hindurchgehen; eine Scheibe (89) zum Abstützen der Substratanhebestifte, wobei die Scheibe (89) zwischen dem Suszeptor und der Abdichtplatte und koaxial zu dem Suszeptor vorgesehen ist und einen Durchmesser hat, der kleiner ist als die Abdichtplatte, aber größer als der Innendurchmesser des kreisförmigen Vorsprungs; und ein schwimmendes elastisches Material (88) mit einem oberen Ende, welches die Scheibe von ihrer Rückseite und einem unteren Ende haltert, das in einer in der Abdichtplatte (82) gebildeten Ausnehmung eingefügt ist, wobei, wenn die Abdichtplatte gegen den kreisförmigen Vorsprung des Rohrleitungsteils abgedichtet ist, die Scheibe auch von dem kreisförmigen Vorsprung gehalten wird, um das schwimmende elastische Material in die Ausnehmung der Abdichtplatte zurückzustoßen, und die Substratanhebestifte auf eine Position absinken, in welcher sich die Spitze jedes Substratanhebestifts auf derselben oder einer niedrigeren Höhe als eine Suszeptoroberfläche befindet.
  14. Halbleiterverarbeitungsmodul nach Anspruch 13, wobei die Abdichtplatte mit einem elastischen Druckmaterial (94) versehen ist für das Sichern der Dichtung zwischen der Abdichtoberfläche der Abdichtplatte und dem kreisförmigen Vorsprung.
  15. Halbleiterverarbeitungsmodul nach Anspruch 12, ferner mit einer die Suszeptorhöhe einstellenden Platte zum Einstellen des Abstandes zwischen dem Suszeptor und der Abdichtplatte, wodurch der Abstand zwischen dem Duschkopf und dem Suszeptor eingestellt wird, wenn sich der Suszeptor und die Abdichtplatte anheben und die Abdichtplatte den kreisförmigen Vorsprung der Rohrleitung abdichtet, wobei die die Suszeptorhöhe einstellende Platte längs eines Suszeptorschaftes und koaxial zu diesem installiert ist.
  16. Halbleiterverarbeitungsmodul nach Anspruch 1, wobei der Reaktor aufweist: einen Suszeptor, um ein Halbleitersubstrat auf diesem anzuordnen; eine Anhebe-/Absenkvorrichtung für das Bewegen des Suszeptors in einer vertikalen Richtung; einen Duschkopf, der an einer Oberwand des Reaktors zum Einführen von Gas vorgesehen ist; ein Rohrleitungsteil, welches nahe dem Duschkopf vorgesehen und längs einer inneren Wand des Reaktors gebildet ist; und eine kreisförmige Stauplatte (124), die an der Innenwand des Reaktors unmittelbar unter dem Rohrleitungsteil vorgesehen ist, wobei ein Spalt zwischen der kreisförmigen Stauplatte und dem Rohrleitungsteil gebildet ist und die kreisförmige Stauplatte einen Innendurchmesser hat, der etwas kleiner ist als der Suszeptor, wobei: (a) in einer Position, in welcher sich der Suszeptor anhebt und mit der kreisförmigen Stauplatte bündig gemacht ist, das Innere des Reaktors in ein oberes Reaktionsabteil und ein unteres Reaktionsabteil geteilt wird, in dem Reaktionsabteil ein Substrat auf dem Suszeptor behandelt wird, ein Abgas aus dem oberen Reaktionsabteil durch den Spalt abgeführt wird, der zwischen der kreisförmigen Stauplatte und dem Rohrleitungsteil gebildet ist, und ein Schutzgas in das Reaktionsabteil hinein aus dem unteren Abteil durch einen Spalt vorgesehen wird, welcher zwischen dem Suszeptor und der kreisförmigen Stauplatte gebildet ist; und (b) an einer Position, bei welcher der Suszeptor absinkt, ein Substrat über das Absperrventil überführt wird.
  17. Halbleiterverarbeitungsmodul nach Anspruch 16, wobei das Rohrleitungsteil (123) und die Stauplatte (124) aus einem Isolationsmaterial hergestellt sind.
  18. Halbleiterverarbeitungsvorrichtung mit mindestens einem Modul nach Anspruch 1 und einer Atmosphären-Robotereinheit (51), die einen Atmosphären-Roboter (53) für das Überführen eines Substrats in jeden Reaktor hinein und aus diesem heraus aufweist.
  19. Halbleiterverarbeitungsvorrichtung nach Anspruch 18, wobei die Atmosphären-Robotereinheit einen Gleitschaft parallel zu den Beladeschleusenkammern hat und auf dem Schaft der Atmosphären-Robotereinheit gleitet, um sich vor jede Beladeschleusenkammer zu positionieren.
  20. Halbleiterverarbeitungsvorrichtung nach Anspruch 18, wobei mehrere Module nebeneinander in einer Linie ausgerichtet sind.
  21. Halbleiterverarbeitungsvorrichtung nach Anspruch 20, wobei die mehreren Module so angeordnet sind, daß sie über eine gemeinsame Atmosphären-Robotereinheit einander zu gerichtet sind, welche einen Atmosphären-Roboter aufweist für das Überführen eines Substrats in jede Beladeschleusenkammer und aus dieser heraus.
  22. Halbleiterverarbeitungsvorrichtung nach Anspruch 21, ferner mit einer Atmosphären-Transporteinheit, die mit der Atmosphären-Robotereinheit und einem Beladeanschluß verbunden ist, wobei die Atmosphären-Transporteinheit ein Substrat oder Substrate zwischen dem Atmosphären-Roboter und dem Beladeanschluß überführt.
  23. Halbleiterverarbeitungsvorrichtung nach Anspruch 22, die einen Beladeanschluß mit einer Kassette und/oder einer Inspektionseinheit aufweist.
  24. Halbleiterverarbeitungsvorrichtung nach Anspruch 22, wobei die Atmosphären-Transporteinheit aufweist: einen Schlitz und einen Armschaft für das gemeinsame Überführen mehrerer Substrate; einen Anhebe-/Absenkschaft; und einen Drehschaft für das Überführen von Substraten zwischen dem Beladeanschluß und dem Atmosphären-Roboter, wobei die Atmosphären-Transporteinheit gemeinsam mehrere Substrate in eine Position bewegt, in welcher ein Substrat zwischen der Atmosphären-Transporteinheit und dem Atmosphären-Roboter überführt wird, während der Atmosphären-Roboter nicht auf dem Gleitschaft gleitet.
DE60131511T 2000-08-22 2001-08-21 Halbleiterverarbeitungsmodul und Vorrichtung Expired - Fee Related DE60131511T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000251455 2000-08-22
JP2000251455 2000-08-22
JP2001196802 2001-06-28
JP2001196802A JP4753224B2 (ja) 2000-08-22 2001-06-28 ガスラインシステム

Publications (2)

Publication Number Publication Date
DE60131511D1 DE60131511D1 (de) 2008-01-03
DE60131511T2 true DE60131511T2 (de) 2008-10-23

Family

ID=26598258

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60131511T Expired - Fee Related DE60131511T2 (de) 2000-08-22 2001-08-21 Halbleiterverarbeitungsmodul und Vorrichtung

Country Status (4)

Country Link
EP (1) EP1182695B1 (de)
JP (1) JP4753224B2 (de)
KR (1) KR100789461B1 (de)
DE (1) DE60131511T2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243481A (ja) * 2002-02-21 2003-08-29 Asm Japan Kk 半導体製造装置及びメンテナンス方法
TWI304391B (en) * 2002-07-22 2008-12-21 Brooks Automation Inc Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR100688836B1 (ko) * 2005-05-11 2007-03-02 삼성에스디아이 주식회사 촉매 화학기상증착장치
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
KR101217516B1 (ko) * 2006-07-11 2013-01-02 주성엔지니어링(주) 클러스터 툴
KR100846107B1 (ko) * 2007-02-13 2008-07-15 세메스 주식회사 다수의 가스 스틱을 구비하는 반도체 제조 설비
KR100839189B1 (ko) * 2007-03-06 2008-06-17 세메스 주식회사 반도체 제조장치 및 반도체 제조장치의 공정챔버에 기판을이송하는 방법
KR100858890B1 (ko) * 2007-03-28 2008-09-17 세메스 주식회사 기판 처리 장치와 기판 처리 방법
JP2011518428A (ja) * 2008-03-25 2011-06-23 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 処理チャンバ
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP5497091B2 (ja) * 2012-03-26 2014-05-21 東京エレクトロン株式会社 基板処理方法
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10770272B2 (en) * 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
CN107644832B (zh) * 2016-07-20 2023-09-29 朗姆研究公司 用于***维护期间储存和组织mca特征和晶片传送销的设计
JP6844263B2 (ja) * 2017-01-05 2021-03-17 東京エレクトロン株式会社 基板処理装置
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
CN112725747B (zh) * 2019-10-29 2022-10-18 中国电子科技集团公司第四十八研究所 一种磁控溅射机台
CN112779510B (zh) * 2019-11-11 2022-11-11 中国电子科技集团公司第四十八研究所 一种磁控溅射镀膜设备
US11887884B2 (en) 2020-10-16 2024-01-30 Applied Materials, Inc. Pre-loaded bowl mechanism for providing a symmetric radio frequency return path
US11664247B2 (en) * 2020-10-16 2023-05-30 Applied Materials, Inc. Dynamic interface for providing a symmetric radio frequency return path
US20230095095A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Method of isolating the chamber volume to process volume with internal wafer transfer capability

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61580A (ja) * 1984-06-14 1986-01-06 Ricoh Co Ltd プラズマcvd装置
US4657620A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Automated single slice powered load lock plasma reactor
JPS63232316A (ja) * 1987-03-20 1988-09-28 Fujitsu Ltd 蒸気圧制御装置
JP2744934B2 (ja) * 1989-07-25 1998-04-28 東京エレクトロン株式会社 縦型処理装置
JPH0478137A (ja) * 1990-07-20 1992-03-12 Hitachi Ltd 熱処理装置システム
JPH0697258A (ja) * 1992-09-17 1994-04-08 Hitachi Ltd 連続真空処理装置
TW295677B (de) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH08213442A (ja) * 1995-02-06 1996-08-20 Hitachi Ltd マルチチャンバプロセス装置
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
KR100219368B1 (ko) * 1996-06-05 1999-09-01 이경수 반도체기판의 표면을 연마하는 반도체제조장치
JP3700879B2 (ja) * 1996-07-25 2005-09-28 古河電気工業株式会社 有機金属気相成長装置
JPH10172955A (ja) * 1996-12-06 1998-06-26 Shibaura Eng Works Co Ltd 真空処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP3632812B2 (ja) * 1997-10-24 2005-03-23 シャープ株式会社 基板搬送移載装置
JP3215081B2 (ja) * 1997-12-02 2001-10-02 カンケンテクノ株式会社 半導体製造排ガスの除害装置及び除害方法
JPH11345770A (ja) * 1998-05-29 1999-12-14 Kokusai Electric Co Ltd 半導体製造装置
JP4256551B2 (ja) * 1998-12-25 2009-04-22 東京エレクトロン株式会社 真空処理システム
JP2000223547A (ja) * 1999-01-27 2000-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
US10199250B2 (en) 2012-02-06 2019-02-05 Meyer Burger (Germany) Gmbh Substrate processing device

Also Published As

Publication number Publication date
KR20020015672A (ko) 2002-02-28
JP2002141293A (ja) 2002-05-17
KR100789461B1 (ko) 2008-01-02
EP1182695A3 (de) 2006-02-01
JP4753224B2 (ja) 2011-08-24
DE60131511D1 (de) 2008-01-03
EP1182695A2 (de) 2002-02-27
EP1182695B1 (de) 2007-11-21

Similar Documents

Publication Publication Date Title
DE60131511T2 (de) Halbleiterverarbeitungsmodul und Vorrichtung
DE60024424T2 (de) Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System
DE69115374T2 (de) Vorrichtung zum Herstellen eines reduzierten Drucks und zur Objektverarbeitung
DE69030330T2 (de) Plättchenbehandlungssystem
DE69935351T2 (de) Verfahren zum Abscheiden von Atomschichten
DE69525881T2 (de) Hochgeschwidigkeitsbewegung für Arbeitsstücke in Vakuum-Behandlung
DE69133564T2 (de) Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69210942T2 (de) Halbleiterherstellung
DE69402918T2 (de) Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
DE69713065T2 (de) Ladungsschleusenvorrichtung für ein ionenimplantierungssystem
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE3789212T2 (de) Integriertes Bearbeitungssystem mit Vielfachkammer.
DE69013149T2 (de) Verfahren zum auftragen einer schicht auf ein substrat sowie verfahrenssystem zu diesem zweck.
DE60022221T2 (de) Apparat für die bearbeitung von halbleitern
DE69635640T2 (de) Plasmabearbeitungsgerät
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE102008019023B4 (de) Vakuum-Durchlaufanlage zur Prozessierung von Substraten
DE102004010688B4 (de) Bearbeitungseinrichtung, Bearbeitungsverfahren, Drucksteuerverfahren, Transportverfahren, und Transporteinrichtung
DE4210110C2 (de) Halbleitereinrichtung-Herstellungsvorrichtung und Verfahren zum Herstellen einer Halbleitereinrichtung
DE102007041033A1 (de) Substratverarbeitende Vorrichtung mit einer Puffermechanik und einer Substrattransfervorrichtung
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
DE10255688A1 (de) Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
CH673351A5 (de)
DE3442844A1 (de) Vorrichtung und anlage fuer die durchfuehrung einer behandlung unter vakuum
CH691376A5 (de) Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee