DE4014351C2 - Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern - Google Patents

Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern

Info

Publication number
DE4014351C2
DE4014351C2 DE4014351A DE4014351A DE4014351C2 DE 4014351 C2 DE4014351 C2 DE 4014351C2 DE 4014351 A DE4014351 A DE 4014351A DE 4014351 A DE4014351 A DE 4014351A DE 4014351 C2 DE4014351 C2 DE 4014351C2
Authority
DE
Germany
Prior art keywords
gas
chamber
substrate
gas supply
interior
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE4014351A
Other languages
English (en)
Other versions
DE4014351A1 (de
Inventor
Toshiaki Ohmori
Takaaki Fukumoto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Publication of DE4014351A1 publication Critical patent/DE4014351A1/de
Application granted granted Critical
Publication of DE4014351C2 publication Critical patent/DE4014351C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

Die Erfindung betrifft eine Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern unter Verwendung eines Reaktionsgases gemäß dem Oberbegriff des Anspruchs 1.
Fig. 1 zeigt schematisch den Aufbau einer herkömmlichen Vorrich­ tung zur Herstellung und Bearbeitung von Halbleitern. Ein Halb­ leitersubstrat 2 wird mit einem Halter 3 fixiert und ist inner­ halb einer im allgemeinen zylindrischen Kammer 1 angeordnet. Ein Reaktionsgas wird dem Innenraum der Kammer 1 zugeführt von einer Gaszuführungsleitung 4a durch eine Gaszuführungsöffnung 4, strömt innerhalb der Kammer 1 in den Richtungen, die mit Pfeilen 6 ange­ deutet sind, und erreicht dann die Oberfläche des Substrats 2. Das Reaktionsgas, das für die Reaktion nicht verbraucht worden ist, wird durch eine Auslaßöffnung 5 aus der Kammer 1 nach außen abgelassen. Ein Ventil zur Steuerung der Auslaßmenge, beispiels­ weise ein automatisches Einstellventil 7 für den Gasauslaß, ist in einer Auslaßleitung 7a vorgesehen, die mit der Auslaß­ öffnung 5 verbunden ist.
Eine herkömmliche Vorrichtung mit einem solchen Aufbau wird in der nachstehend beschriebenen Weise betrieben. Zunächst wird das Substrat 2 innerhalb der Kammer 1 auf den Halter 3 gesetzt. Danach, wenn der Innenraum der Kammer 1 mit einem inaktiven Gas gefüllt worden ist, wie z.B. mit N2, wird ein Reaktionsgas mit vorgegebenem Strömungsdurchsatz durch die Gaszuführungsöffnung 4 in die Kammer 1 eingeleitet. Ein Ge­ misch aus HF-Gas und N2-Gas kann als Reaktionsgas verwendet werden; wenn der durchzuführende Prozeß ein Ätzvorgang ist, wird das Reaktionsgas als Ätzgas verwendet.
Zu diesem Zeitpunkt wird der Druck in der Kammer 1 kontinuier­ lich von einem nicht dargestellten Sensor gemessen, so daß der Innenraum der Kammer 1 auf einem vorgegebenen Druck gehalten werden kann, und zwar durch die Wirkung des automatischen Einstellventils 7 im Auslaß.Wenn eine vorgegebene Zeitspanne verstrichen ist, wird ein Ersatzgas, beispielsweise N2-Gas oder Luft, durch die Gaszuführungsöffnung 4 eingeleitet, um das in der Kammer 1 verbliebene Reaktionsgas zu ersetzen.
Die Reaktion des Reaktionsgases, die auf der Oberfläche des Substrats 2 stattfindet, beginnt sofort nach der Zuführung des Reaktionsgases in die Kammer 1 und dauert an bis zum Ab­ lassen des Reaktionsgases, was durch die Zuführung des Ersatz­ gases hervorgerufen wird. Das Substrat 2 wird aus der Kammer 1 herausgenommen, wenn das Reaktionsgas vollständig aus der Kam­ mer 1 abgelassen worden ist.
Da die Vorrichtung zur Herstellung und Bearbeitung von Halblei­ tern mit obigem Aufbau nur mit einer Gaszuführungsöffnung ver­ sehen ist, die für die Einleitung des Reaktionsgases und des Ersatzgases verwendet wird, und auch nur eine Auslaßöffnung 5 besitzt, die zum Ablassen der Gase verwendet wird, kann das Reaktionsgas innerhalb der Kammer 1 mit einem nicht gleich­ mäßigen Strömungsdurchsatz und/oder in nicht gleichmäßigen Richtungen strömen, was von der gesamten Formgebung der Kam­ mer 1, der Anbringungsposition der Gaszuführungsöffnung 4 und der Auslaßöffnung 5 sowie anderen räumlichen Gegebenheiten abhängt. Diese mangelnde Gleichförmigkeit hinsichtlich der Strömungsrate und der Richtung des Reaktionsgases ändert sich in Abhängigkeit von der Menge an Reaktionsgas, welches die Oberfläche des Substrats 2 erreicht, so daß eine exakte Bear­ beitung der Oberfläche des Substrats 2 sehr schwer, wenn über­ haupt möglich ist.
Aus der EP 01 65 400 A1 ist eine Vorrichtung der eingangs genannten Art bekannt. Dabei umfaßt eine Hochdruckreaktionskammer eine fluidgekühlte obere Elektrode, die eine Vielzahl von kleinen Löchern aufweist, so daß eine gleichmäßige Verteilung eines Reaktionsgases über die Oberfläche eines zu ätzenden Wafers erreicht ist. Eine ebenfalls fluidgekühlte untere Elektrode ist von der oberen Elektrode beabstandet und weist einen Isolierring an ihrer Oberseite auf. Der Isolierring steht über die ungeschützte Oberfläche der unteren Elektrode nach oben vvor, um den Abstand beider Elektroden und einen Plasmabereich zu definieren. Über der ersten Elektrode ist eine Drosselscheibe angeordnet, so daß das über einen Einlaß in die Kammer eingebrachte Reaktionsgas gleichmäßig der oberen Elektrode zugeführt werden kann. Nach Durchströmen des Plasmabereichs wird das Reaktionsgas dann durch eine Vielzahl von voneinander beabstandeten, sich radial erstreckenden Durchlaßöffnungen im Isolierring abgeführt.
Nachteilhat hierbei ist, daß eine völlig gleichmäßige Bearbeitung der gesamten Oberfläche des Wafers nicht sichergestellt werden kann.
Aus dem Patent Abstract der JP 63-1 41 318 A2 ist eine Ätzvorrichtung bekannt, die eine obere Elektrode mit einem Gaseinlaßloch und eine untere, gegenüberliegend angeordnete Elektrode aufweist, auf der ein Substrat angeordnet werden kann. Eine Abschirmplatte mit Löchern gleichen Durchmessers ist in der Nachbarschaft am Umfang der unteren Elektrode ringförmig angeordnet. Direkt unterhalb der Abschirmplatte ist eine drehbare Ableiteinstellplatte angeordnet, die abwechselnd erste und zweite Löcher aufweist, welche alternativ mit den Löchern der Abschirmplatte zur Deckung gebracht werden können. Die Durchmesser der ersten Löcher sind proportinal zum Abstand der Löcher zu einem Gasauslaß und die Durchmesser der zweiten Löcher entsprechen den Durchmessern der Löcher in der Abschirmplatte. Während des Ätzvorgangs wird das durch die Löcher in der Abschirmplatte und der ersten Löcher in der Ableiteinstellplatte strömende Gas über den Gasauslaß gleichmäßig abgeführt. Am Ende des Ätzvorgangs wird die Ableiteinstellplatte gedreht und das Reaktionsgas kann plötzlich mit großer Geschwindgikeit über die Löcher in der Abschirmplatte und nunmehr die zweiten Löcher in der Ableiteinstellplatte abgeführt werden.
Auch mit dieser Vorrichtung kann keine absolut gleichmäßige Bearbeitung eines auf der zweiten Elektrode angeordneten Wafers gewährleistet werden.
Aufgabe der Erfindung ist es, eine Vorrichtung zur Herstellung und Bearbeitung von Halbleitern anzugeben, mit der es möglich ist, ein Reaktionsgas in gleichmäßiger Weise der Oberfläche eines zu bearbeitenden Substrats zuzuführen, so daß gewährleistet ist, daß die Oberfläche des Substrats mit einem hohen Grad an Gleichmäßigkeit bearbeitet werden kann.
Diese Aufgabe wird bei der eingangs genannten Vorrichtung durch die im kennzeichnenden Teil des Anspruchs 1 angegebenen Merkale gelöst.
Demgemäß ist eine zweite Vergleichmäßigungseinrichtung vorgesehen, die bezogen auf das Substrat auf der gegenüberliegenden Seite der ersten Vergleichmäßigungseinrichtung vorgesehen ist und den Innenraum der Kammer nochmals unterteilt. Dadurch kann das Gas nicht nur gleichmäßig einströmen, sondern ebenso wieder gleichmäßig ausströmen, so daß eine gewünschte gleichmäßige Behandlung der gesamten Oberfläche des Halbleitersubstrats mit dem Reakationsgas möglich ist. Wesentlich trägt dazu die Ausbildung der Gasabführung bei, die auf der dem Substrat gegenüberliegenden Seite der zweiten Vergleichmäßigungseinrichtung vorgesehen und derart ausgebildet ist, daß das Reaktionsgas gleichmäßig aus dem Innenraum der Kammer abgeführt werden kann.
Die Erfindung wird nachstehend, auch hinsichtlich weiterer Merkmale und Vorteile, anhand der Beschreibung eines Ausfüh­ rungsbeispiels und unter Bezugnahme auf die beiliegenden Zeich­ nungen näher erläutert. Die Zeichnungen zeigen in
Fig. 1 eine schematische Darstellung einer herkömmlichen Vor­ richtung zur Herstellung und Bearbeitung von Halblei­ tern;
Fig. 2 eine schematische Darstellung einer Ausführungsform der Vorrichtung gemäß der Erfindung; und in
Fig. 3 eine Draufsicht auf eine Gaszuführungsdüse und eine Gasauslaßdüse, die bei der erfindungsgemäßen Vorrich­ tung verwendet werden.
Im folgenden wird auf Fig. 2 Bezug genommen, die eine schema­ tische Darstellung einer Ausführungsform gemäß der Erfindung zeigt. In Fig. 2 werden gleiche Bezugszeichen für diejenigen Teile verwendet, die in entsprechender Weise bei der Vorrich­ tung gemäß Fig. 1 vorhanden sind. Wie aus Fig. 2 ersichtlich, ist ein Halbleitersubstrat 2, das von einem Halter 3 getragen ist, im unteren Bereich des Innenraumes einer Kammer 1A ange­ ordnet, die beispielsweise zylindrische Gestalt hat.
Der obere Bereich der Kammer 1A ist mit einer Gaszuführungs­ öffnung 4 versehen, durch welche ein Gas, einschließlich eines Reaktionsgases, wobei es sich um HF-Gas oder N2-Gas als Ätzgas handeln kann, oder ein Ersatzgas von einer Gaszuführungslei­ tung 4A aus in den Innenraum der Kammer 1A eingeleitet werden kann. An diese Gaszuführungsöffnung 4 ist eine Gaszuführungs­ einrichtung angeschlossen, nämlich eine Gaszuführungsdüse 10, um das Gas gleichmäßig über den Querschnitt in die Kammer 1A einzuleiten.
Die Gaszuführungsdüse 10 hat eine Vielzahl von Gasaustritts­ löchern 11 an der Oberseite oder ihrem oberen Bereich, und die Größe der Gaszuführungsdüse ist größer als die Breite des Substrats 2. Die Gasaustrittslöcher 11 haben einen Durchmesser zwischen 100 µm und 10 mm und sind vorgesehen, um das Gas gleichmäßig in die Kammer 1A austreten zu lassen. Da das Sub­ strat 2 eine scheibenförmige Gestalt hat, wird bevorzugt, daß die Gaszuführungsdüse 10 eine spiralförmige Gestalt hat, wie es in Fig. 3 dargestellt ist.
Das Gas wird von den Gasaustrittslöchern 11 der Gaszuführungs­ düse 10 zur Decke der Kammer 1A hin eingelassen. Ein Filter 8a, der als erste Vergleichmäßigungsein­ richtung dient, ist zwischen der Gaszuführungsdüse 10 und dem Substrat 2 vorgesehen, um den Innenraum der Kammer 1A zu un­ terteilen. Der Filter 8a besteht aus einem nicht korrodieren­ den oder korrosionshemmenden Material, wie z.B. Teflon.
Weiterhin ist ein Filter 8b, der als zweite Vergleichmäßigungseinrichtung dient, auf der gegenüberliegen­ den Seite des Filters 8a, in Strömungsrichtung hinter dem Sub­ strat 2 vorgesehen, um den Innenraum der Kammer 1A nochmals zu unter­ teilen. Auf diese Weise ist die Kammer 1A durch die Filter 8a und 8b unterteilt in einen Gaszuführungsbereich A, einen Sub­ stratbehandlungsbereich B und einen Gasauslaßbereich C.
Unterhalb des Filters 8b ist eine Auslaßeinrichtung vorgese­ hen, nämlich eine Gasauslaßdüse 12, die an eine Auslaßöffnung 5 angeschlossen ist. Vorzugsweise hat die Gasauslaßdüse 12 die gleiche Konfiguration wie die Gaszuführungsdüse 10. Das bedeutet, die Gasauslaßdüse 12 hat eine Vielzahl von nach oben gerichteten Auslaßlöchern 13. Diese Auslaßlöcher 13 ha­ ben vorzugsweise den gleichen Durchmesser wie die Gasaus­ trittslöcher 11 in der Gaszuführungsdüse 10.
Bei der Vorrichtung mit dem oben beschriebe­ nen Aufbau wird zuerst das Substrat 2 auf den Halter 3 in der Kammer 1A gesetzt, und dann wird der Innenraum der Kammer 1A mit einem inaktiven Gas gefüllt, beispielsweise mit N2-Gas. Danach wird das Reaktionsgas von der Gaszuführungsöffnung 4 aus in die Kammer 1A eingeleitet.
Normalerweise wird das Reaktionsgas bei Raumtemperatur zuge­ führt. Das eingeleitete Reaktionsgas tritt in die Kammer 1A im wesentlichen gleichmäßig und gleichförmig ein durch die Vielzahl von Gasaustrittslöchern 11, die nach oben gerichtet sind. Das Reaktionsgas trifft dabei auf die Decke der Kammer 1A und verliert daraufhin seine Richtungsorientierung.
Da von dem Reaktionsgas ein statischer Druck erzeugt wird, der auf den Innenraum der Kammer 1A oberhalb des Filters 8a wirkt, strömt das Reaktionsgas nach unten durch den Filter 8a aufgrund der Druckdifferenz, die innerhalb der Kammer 1A erzeugt wird. Dies ermöglicht es, daß das Reaktionsgas der Oberfläche des Substrats 2 in einer gleichmäßigen Richtung mit einem gleichmäßigen Strömungsdurchsatz zugeführt wird.
Es wird bevorzugt, wenn die Poren in dem Filter 8a eine Größe haben, die im Bereich von 0,01 µm bis 100 µm liegt. Da die Filter 8a und 8b auch die Funktion haben, Staub aus dem Reak­ tionsgas zu entfernen, ist es umso besser, je kleiner die Po­ rengröße ist.
Da jedoch übermäßig kleine Poren den Druckverlust des Reakti­ onsgases erhöhen, welches durch die Poren hindurchgeht, sollte die Größe der Poren nicht kleiner als 0,01 µm sein. Ferner sollte die Größe der Poren einen Wert von 100 µm nicht über­ schreiten, da Poren mit einer größeren Porengröße als 100 µm keine Strömung des Gases gewährleisten, die einen gleichmäßi­ gen Strömungsdurchsatz und eine gleichmäßige Strömungsrichtung hat. Besonders bevorzugt liegt die Größe der Poren im Bereich von 0,05 µm bis 10 µm.
Das Reaktionsgas, welches die Oberfläche des Substrats 2 nicht erreicht, geht durch den Filter 8b hindurch und wird dann aus der Kammer 1A durch die Gasauslaßdüse 12, welche an die Aus­ laßöffnung 5 angeschlossen ist, nach außen abgelassen. Die Filter 8a und 8b in Kombination miteinander machen den Strö­ mungsdurchsatz und die Strömungsrichtung der Gasströmung be­ sonders gleichmäßig. Die Porengröße der Poren in den ersten und zweiten Filtern 8a und 8b ist vorzugsweise die gleiche. Es ist jedoch ohne weiteres möglich, die Porengröße innerhalb des oben angegebenen Bereiches zu variieren.
Bei der oben beschriebenen Ausführungsform werden eine spiral­ förmige Gaszuführungsdüse 10 und eine spiralförmige Gasauslaß­ düse 12 verwendet. Es können jedoch auch eine Gaszuführungsdü­ se 10 und eine Gasauslaßdüse 12 mit anderen Formen verwendet werden.
Weiterhin sind die Gasaustrittslöcher 11 und die Auslaßlöcher 13 in ihrer Vielzahl jeweils an der Oberseite der Gaszufüh­ rungsdüse 10 bzw. der Gasauslaßdüse 12 vorgesehen. Sie können jedoch auch in der Seite oder im Boden der Gaszuführungsdüse 10 bzw. der Gasauslaßdüse 12 vorgesehen sein.
Ferner kann das Substrat 2 mit einer geeigneten Antriebsein­ richtung 3a gemäß Fig. 3 in Drehung versetzt werden, um es zu ermöglichen, die Oberfläche des Substrats 2 in noch gleichmäßi­ gerer Weise zu bearbeiten. Die Poren in den Endbereichen der Filter 8a und 8b können geschlossen sein. Die Filter 8a und 8b sollten jedoch Poren zumindest in einem Bereich haben, der deutlich größer ist als die Fläche des Substrats 2.
Bei der oben beschriebenen Ausführungsform ist die Gaszufüh­ rungsdüse 10 im oberen Bereich im Innenraum der Kammer 1A vorgesehen, während die Gasauslaßdüse 12 im unteren Bereich vorgesehen ist. Es ist jedoch selbstverständlich auch möglich, die Anordnung umzukehren, so daß die Gaszuführungsdüse 10 im unteren Bereich der Kammer 1A angeordnet ist, während die Gas­ auslaßdüse 12 im oberen Bereich der Kammer 1A vorgesehen ist.

Claims (15)

1. Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern unter Verwendung eines Reaktionsgases, umfassend
  • - eine Kammer (1A);
  • - eine Einrichtung (3) zur Halterung eines Halbleitersubstrats (2) innerhalb der Kammer (1A);
  • - eine Gaszuführungseinrichtung (4, 4a) und eine Gasauslaßeinrichtung (5, 7a), die an die Kammer (1A) angeschlossen sind,
  • - eine Gaszuführung (10), die in der Kammer (1A) dem Halbleitersubstrat (2) gegenüberliegend vorgesehen ist, die das Reaktionsgas gleichmäßig über den Querschnitt der Kammer (1A) einleitet;
  • - eine erste Vergleichmäßigungseinrichtung (8a) , die zwischen der Gaszuführung (10) und dem Substrat (2) angeordnet ist und den Innenraum der Kammer (1A) unterteilt und den Strömungsdurchsatz sowie die Strömungsrichtung des von der Gaszuführung (10) eingeleiteten Reaktionsgases auf die Oberfläche des Substrats (2) gleichmäßig macht;
gekennzeichnet durch
  • - eine zweite Vergleichmäßigungseinrichtung (8b), die bezogen auf das Substrat (2) auf der gegenüberliegenden Seite der ersten Vergleichmäßigungseinrichtung (8a) vorgesehen ist und den Innenraum der Kammer (1A) nochmals unterteilt, um den Strömungsdurchsatz und die Strömungsrichtung des austretenden Reaktionsgases ebenfalls gleichmäßig zu machen, wobei eine Gasabführung (12) auf der dem Substrat (2) gegenübrliegenden Seite der zweiten Vergleichmäßigungseinrichtung (8a) vorgesehen ist, um das Reaktionsgas aus dem Innenraum der Kammer (1A) gleichmäßig über den Querschnitt abzuführen.
2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die Gaszuführung (10) eine Gaszuführungsdüse (10) mit einer Vielzahl von Gasaustrittslöchern (11) aufweist.
3. Vorrichtung nach Anspruch 2, dadurch gekennzeichnet, daß die Gaszuführungsdüse (10) eine Spiralform besitzt.
4. Vorrichtung nach Anspruch 2 oder 3, dadurch gekennzeichnet, daß die Gasaustrittslöcher (11) in der Oberseite der Gaszuführungsdüse (10) ausgebildet sind.
5. Vorrichtung nach einem der Ansprüche 2 bis 4, dadurch gekennzeichnet, daß die Gasaustrittslöcher (11) einen Durchmesser im Bereich von 100 µm bis 10 mm besitzen.
6. Vorrichtung nach einem der Ansprüche 1 bis 5, dadurch gekennzeichnet, daß die Gasabführung (12) eine Gasauslaßdüse (12) mit einer Vielzahl von darin ausgebildeten Auslaßlöchern (13) aufweist.
7. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, daß die Gasauslaßdüse (12) eine Spiralform besitzt.
8. Vorrichtung nach Anspruch 6 oder 7, dadurch gekennzeichnet, daß die Auslaßlöcher (13) in der Oberseite der Gasauslaßdüse (12) ausgebildet sind.
9. Vorrichtung nach einem der Ansprüche 6 bis 8, dadurch gekennzeichnet, daß die Auslaßlöcher (13) einen Durchmesser im Bereich von 100 µm bis 10 mm besitzen.
10. Vorrichtung nach einem der Ansprüche 1 bis 9, dadurch gekennzeichnet, daß die erste und/oder die zweite Vergleichmäßigungseinrichtung (8a, 8b) ein Filter ist.
11. Vorrichtung nach Anspruch 10, dadurch gekennzeichnet, daß der Filter (8a, 8b) Poren mit einer Größe im Bereich von 0,01 µm bis 100 µm aufweist.
12. Vorrichtung nach Anspruch 10 oder 11, dadurch gekennzeichnet, daß der Filter (8a, 8b) Poren mit einer Größe im Bereich von 0,05 µm bis 10 µm besitzt.
13. Vorrichtung nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, daß die Einrichtung (3) zur Halterung des Substrats (2) mit einer Antriebseinrichtung (3a) versehen ist, mit der das Substrat (2) drehbar ist.
14. Vorrichtung nach einem der Ansprüche 1 bis 13, dadurch gekennzeichnet,
daß die Gaszuführung (10) im oberen Bereich im Innenraum der Kammer (1A) vorgesehen ist,
daß die Gasabführung (13) im unteren Bereich im Innenraum der Kammer (1A) vorgesehen ist,
und daß die Einrichtung zur Halterung des Halbleitersubstrats (2) am Boden der Kammer (1A) befestigt ist.
DE4014351A 1989-05-10 1990-05-04 Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern Expired - Fee Related DE4014351C2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1115160A JPH02295116A (ja) 1989-05-10 1989-05-10 半導体製造装置

Publications (2)

Publication Number Publication Date
DE4014351A1 DE4014351A1 (de) 1990-11-15
DE4014351C2 true DE4014351C2 (de) 1994-01-20

Family

ID=14655820

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4014351A Expired - Fee Related DE4014351C2 (de) 1989-05-10 1990-05-04 Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern

Country Status (3)

Country Link
US (1) US4986216A (de)
JP (1) JPH02295116A (de)
DE (1) DE4014351C2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19937513A1 (de) * 1999-08-09 2001-03-22 Siemens Ag Gleichverteilte Gasinjektion zur Behandlung von Halbleitersubstrate

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0752716B2 (ja) * 1990-06-05 1995-06-05 松下電器産業株式会社 熱分解セル
EP0518524B1 (de) * 1991-05-30 1996-09-04 Hitachi, Ltd. Ventil und seine Verwendung in einer Vorrichtung hergestellt aus Halbleitermaterial
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5434110A (en) * 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5290358A (en) * 1992-09-30 1994-03-01 International Business Machines Corporation Apparatus for directional low pressure chemical vapor deposition (DLPCVD)
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5378501A (en) * 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
KR0152324B1 (ko) * 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
JP3354747B2 (ja) * 1995-05-22 2002-12-09 株式会社フジクラ Cvd反応装置および酸化物超電導導体の製造方法
US6518494B1 (en) * 1995-08-22 2003-02-11 Matsushita Electric Industrial Co., Ltd. Silicon structure, method for producing the same, and solar battery using the silicon structure
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5835677A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5835678A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6075922A (en) * 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6465374B1 (en) 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
US6093281A (en) * 1998-02-26 2000-07-25 International Business Machines Corp. Baffle plate design for decreasing conductance lost during precipitation of polymer precursors in plasma etching chambers
WO1999048138A1 (en) * 1998-03-18 1999-09-23 Applied Materials, Inc. Large area uniform laminar gas flow dispenser
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
US6086952A (en) * 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
JP3330335B2 (ja) * 1998-11-04 2002-09-30 東京エレクトロン株式会社 塗布膜形成装置およびエージング処理装置
US6362115B1 (en) 1998-12-09 2002-03-26 Applied Materials, Inc. In-situ generation of p-xylyiene from liquid precursors
US6194030B1 (en) * 1999-03-18 2001-02-27 International Business Machines Corporation Chemical vapor deposition velocity control apparatus
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
KR20160024914A (ko) * 2013-07-26 2016-03-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP2019075517A (ja) * 2017-10-19 2019-05-16 東京エレクトロン株式会社 処理装置及び拡散路を有する部材

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4289598A (en) * 1980-05-03 1981-09-15 Technics, Inc. Plasma reactor and method therefor
JPS60202937A (ja) * 1984-03-28 1985-10-14 Hitachi Ltd ドライエツチング装置
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
JPS61208222A (ja) * 1985-03-13 1986-09-16 Hitachi Ltd プラズマ処理方法及び装置
AT386315B (de) * 1985-11-04 1988-08-10 Voest Alpine Ag Plasmareaktor zum aetzen von leiterplatten

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19937513A1 (de) * 1999-08-09 2001-03-22 Siemens Ag Gleichverteilte Gasinjektion zur Behandlung von Halbleitersubstrate
DE19937513B4 (de) * 1999-08-09 2004-05-06 Infineon Technologies Ag Vorrichtungen und Verfahren zur gleichverteilten Gasinjektion bei der Behandlung von Halbleitersubstraten

Also Published As

Publication number Publication date
US4986216A (en) 1991-01-22
DE4014351A1 (de) 1990-11-15
JPH02295116A (ja) 1990-12-06

Similar Documents

Publication Publication Date Title
DE4014351C2 (de) Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern
DE19655219C2 (de) Vorrichtung zum Behandeln von Substraten in einem Fluid-Behälter
DE60024146T2 (de) Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren
EP0819783B1 (de) Verfahren und Vorrichtung zur Herstellung eines Einkristalls
DE1949767B2 (de) Vorrichtung zum Herstellen gleichmäßig dicker Schichten
EP3562276B1 (de) Vorrichtung zur plasmagestützten behandlung von flüssigkeiten
DE3607844A1 (de) Verfahren und vorrichtung zur herstellung von vertiefungen und/oder ausnehmungen mit abgeschraegten aussenkanten an der oberflaeche von werkstuecken aus halbleitendem material und/oder daran aufgebrachten duennen schichten durch aetzen
DE1533890B1 (de) Vorrichtung zum Spruehfrischen von Metallschmelzen
DE2951453C2 (de)
EP1485518A1 (de) Vorrichtung zum abscheiden von d nnen schichten auf einem su bstrat
EP3475472B1 (de) Verfahren und vorrichtung zur herstellung von beschichteten halbleiterscheiben
DE2327351A1 (de) Vorrichtung zum kontinuierlichen durchfuehren von gastransportreaktionen
DE3634130A1 (de) Vorrichtung und verfahren fuer die chemische dampfabscheidung
DE19851824C2 (de) CVD-Reaktor
DE19616402C2 (de) Vorrichtung zum Behandeln von Substraten in einem Fluid-Behälter
DE19859466A1 (de) Vorrichtung und Verfahren zum Behandeln von Substraten
DE69838634T2 (de) Mechanismus um gleichzeitig zwei seiten wasserabweisend zu machen
DE10053911A1 (de) Substratbearbeitungsverfahren und eine Vorrichtung zum Bearbeiten von Substraten
DE4317733A1 (de) Verfahren zum Einstellen der Zufuhr eines einem Schmelzofen zuzuführenden Reaktionsgases und ein Vielzweckbrenner zur Durchführung des Verfahrens
EP1523695B1 (de) Duesenanordnung zum aufbringen einer fluessigkeit auf ein substrat
DE102004022932A1 (de) Halbleiterherstellungssystem und Verfahren zum Ausbilden eines Dünnfilms auf einem Wafer unter Verwendung desselben
EP0904164B1 (de) Vorrichtung zum behandeln von substraten in einem fluid-behälter
WO2003058686A2 (de) Vorrichtung und verfahren zum behandeln von scheibenförmigen substraten
DE10245553A1 (de) Verfahren und Ofen zur Gasphasenabscheidung von Komponenten auf Halbleitersubstrate mit veränderbarer Hauptstromrichtung des Prozessgases
DE19639771A1 (de) Luftstromsteuervorrichtung und diese verwendender Reinraum

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8320 Willingness to grant licences declared (paragraph 23)
8339 Ceased/non-payment of the annual fee