DE3856022T2 - Selektives Ätzen dünner Schichten - Google Patents

Selektives Ätzen dünner Schichten

Info

Publication number
DE3856022T2
DE3856022T2 DE3856022T DE3856022T DE3856022T2 DE 3856022 T2 DE3856022 T2 DE 3856022T2 DE 3856022 T DE3856022 T DE 3856022T DE 3856022 T DE3856022 T DE 3856022T DE 3856022 T2 DE3856022 T2 DE 3856022T2
Authority
DE
Germany
Prior art keywords
oxide
etching
nitride
selectivity
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE3856022T
Other languages
English (en)
Other versions
DE3856022D1 (de
Inventor
John S Dunfield
Bradley J Taylor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE3856022D1 publication Critical patent/DE3856022D1/de
Application granted granted Critical
Publication of DE3856022T2 publication Critical patent/DE3856022T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Magnetic Heads (AREA)

Description

  • Die vorliegende Erfindung betrifft das selektive, vorzugsweise anisotrope Ätzen von auf Siliziumdioxid gebildeten Siliziumnitridfilmen. Unsere Erfindung betrifft insbesondere ein Verfahren zum anisotropen Ätzen von Siliziumnitrid mit hoher Selektivität in bezug auf das darunterliegende Siliziumdioxid sowie mit einem gesteuerten Siliziumnitridprofil und einem gesteuerten Siliziumdioxidverlust bzw. -gewinn.
  • Der Verbundstoff Siliziumnitrid auf Siliziumdioxid findet häufig bei integrierten Schaltungen Anwendung. So kann Nitrid- auf-Oxid als Oxidationsmaske verwendet werden, um Feldbereiche von Wafern für integrierte Schaltungen (IS) während der LOCOS-Formung des Feldisolationsoxids selektiv zu belichten. Bei derartigen Anwendungen kann das darunterliegende Oxid sehr dünn sein. Während der Anfertigung der Siliziumnitrid-LOCOS- Maske muß die lithographische Maske, wie z.B. ein Photoresist, im Siliziumnitrid ohne Maßverlust bei guter Auflösung und ohne Ätzen der aufliegenden Photoresistmaske (d.h. mit hinreichend hoher Selektivität in bezug auf diese) und der darunterliegenden dünnen Oxidschicht genau nachgebildet werden. Bei der nachfolgenden Entfernung des Nitrids von den aktiven Bereichen ist die Photoresistselektivität nicht von Bedeutung, da das Photoresist vor der LOCOS-Oxidation abgezogen wird. Dennoch ist es immer noch von großer Bedeutung, den Qualitätsverlust der dünnen Oxidschicht infolge des Abziehens zu verhindern.
  • Fluorkohlenwasserstoffätzmittel wie CH&sub3;F und CH&sub2;F&sub2; sowie SF&sub6; und NF&sub3; wurden zum Ätzen von Siliziumnitrid verwendet. Fluorkohlenwasserstoffätzmittel können nichtflüchtige kohlenstoffhaltige organische Polymere bilden, die sich auf dem Oxid absetzen und deshalb die Ätzselektivität erhöhen. Kohlenstoff bildet jedoch Partikel und führt so zu einer unerwünschten Verunreinigung, insbesondere bei hoch- und höchstintegrierten Schaltkreisen, die bereits bei relativ wenigen sehr kleinen Partikeln empfindlich reagieren. Außerdem können sich organische Polymerschichten manchmal willkürlich innerhalb des Reaktors ablagern, was relativ häufiges Reinigen des Reaktors erfordert.
  • Die FR-A-2408913 offenbart ein Gasplasmaverfahren und ein Gasgemisch zur Entfernung von Stoffen bei der Herstellung von Halbleiteranordnungen. Substratwafer bzw. Halbleiteranordnungen werden einem SiF&sub4; oder SiF&sub4; und Sauerstoff enthaltenenden Gasplasma während einer gewissen Zeitdauer ausgesetzt, um die gewünschte Entfernung des Stoffs vom Wafer bzw. der Anordnung durchzuführen. Das Verfahren bzw. das Gasgemisch sind für das selektive Ätzen von Si&sub3;N&sub4; und das Abziehen des Photoresists sowie für das Ätzen von Stoffen wie Silizium und Silizium ent-. haltenden Verbindungen vorgesehen.
  • Die US-A-4568410 offenbart das Ätzen von Siliziumnitrid bei einer hohen Geschwindigkeit und selektiv in bezug auf das Oxid in einem Gasplasma, das aus einem Gasgemisch gebildet ist, das 10-20 Volumenteile NF&sub3; je 20-35 Volumenteile O&sub2; enthält. Dabei werden Ätzgeschwindigkeiten für Siliziumnitrid in einem Bereich von 55 bis 75 10&supmin;³ µm pro Minute bei einem Nitrid- zu Oxidätzgeschwindigkeitsverhältnis von 8-11:1 erzielt.
  • Hauptaufgabe der vorliegenden Erfindung ist das selektive Ätzen einer Dünnschicht wie z.B. von auf einer Siliziumdioxid als Unterlage gebildetem Siliziumnitrid.
  • Eine Aufgabe in diesem Zusammenhang ist es, ein Verfahren zur Verfügung zu stellen, mit dem selektives anisotropes Ätzen von Siliziumnitrid auf Siliziumdioxid mit einem daraus resultierenden gesteuerten anisotropen Nitridätzprofil und gesteuerter Selektivität (entweder Gewinn oder Minderung) in bezug auf das darunterliegende Oxid erzielt werden kann.
  • Eine weitere Aufgabe in diesem Zusammenhang ist es, ein derartiges Verfahren zur Verfügung zu stellen, das außerdem eine gesteuerte Selektivität in bezug auf die Photoresistmaske erzielen läßt. Diese Ziele werden durch das Verfahren gemäß Anspruch 1 erreicht.
  • Eine Ausführungsform unseres Verfahrens besteht darin, daß eine Siliziumnitridschicht, die Bestandteil eines eine auf einer Siliziumoxidunterlage gebildete Siliziumnitridschicht umfassenden Körpers ist, mit gesteuerter hoher Seleketivität in bezug auf die Siliziumoxidunterlage selektiv (und anisotrop) geätzt wird, und der Körper einem aus einem Reagentiengasstrom aus SiF&sub4;, Sauerstoff und NF&sub3; gebildeten Plasma ausgesetzt wird.
  • Insbesondere umfaßt das Verfahren zum Ätzen einer Siliziumnitridschicht, die Bestandteil eines eine auf einer Siliziumoxidunterlage gebildete Siliziumnitridschicht umfassenden Körpers ist, mit gesteuerter hoher Seleketivität in bezug auf die Siliziumoxidunterlage unter Verwendung einer auf dem Siliziumnitrid gebildeten Photoresistmaske in einer Plasmaätzkammer das Positionieren des maskierten Körpers in der Ätzkammer und das Zuführen eines ausgewählten NF&sub3;, NiF&sub4; und O&sub2; umfassenden Reagentiengasgemisches in die Ätzkammer, um das Siliziumnitrid mit einer hohen Selektivität in bezug auf Photoresist und Oxidunterlage zu ätzen.
  • Im folgenden wird eine spezifische Ausführungsform der Erfindung beschrieben, wobei auf die beiliegenden Zeichnungen Bezug genommmen wird, in denen folgendes dargestellt ist:
  • Figur 1 zeigt eine schematische Darstellung eines geeigneten Plasmaätzsystems zur Durchführung des vorliegenden Verfahrens und in
  • Figur 2 bis 5 sind Kurvenverläufe dargestellt, die den Einfluß von verschiedenen Gasen auf die Oxid- und Nitridätzgeschwindigkeit zeigen.
  • 1. Gesamtverfahren
  • Unsere Erfindung macht sich die Chemie von sauerstoffhaltigem fluorierten Gas in einem Vakuumplasmareaktor zunutze, um Siliziumnitrid bei gesteuerter Anisotropie verbunden mit hoher Selektivität in bezug auf organische Photoresistmasken und gesteuerter Selektivität in bezug auf das Oxid zu ätzen. Dieses gesteuerte Siliziumnitridätzen ist ein einfaches einstufiges Verfahren, das die Wiederholbarkeit erhöht, Materialkosten verringert und die Überwachung durch das Eedienungspersonal verbessert. Außerdem erhöht die gesteigerte Selektivität in bezug auf die Oxidunterlage die Ausbeute des Verfahrens und erleichtert die Anpassung des Verfahrens an andere Anwendungen.
  • Die bevorzugten reaktionsfähigen Gase umfassen O&sub2;/SiF&sub4; und insbesondere NF&sub3;/O&sub2;/SiF&sub4;. SiF&sub4; ist das Selektivitäts- und Nitridätzmittel (s. Reaktion A). NF&sub3; kann das Hauptnitridätzmittel sein (s. Reaktion B). O&sub3; verbindet sich mit Silizium aus SiF&sub4;, um auf der Oxidunterlage selektiv eine siliziumoxidhaltige Schicht zu bilden und so die Selektivität in bezug auf Oxidschichten zu erhöhen (s. Reaktion A) . Normalerweise vermindert z.B. die Erhöhung der Anzahl der siliziumnitridhaltigen Wafer die Nitridätzgeschwindigkeit. Die Erhöhung des NF&sub3;- Durchsatzes erhöht jedoch die Nitridätzgeschwindigkeit sogar bei Konstanthaltung der übrigen Parameter und gleicht dadurch die erhöhte Anzahl an Wafern aus. Die Zugabe von NF&sub3; erweitert den nutzbaren Arbeitsbereich des Verfahrens, d.h. das "Prozeßwindow".
  • 2. Verfahrensverlauf/Verlaufskurven
  • Die Flexibilität und die Vorteile des vorliegenden Verfahrens zeigen sich darin, daß die ausgewählten Betriebsgase dazu verwendet werden können, die Ätzselektivität bezüglich der Photoresistätzmasken entsprechend zu bemessen, die Geschwindigkeit der Abscheidung der Siliziumoxid enthaltenden Verbindungen und dadurch den Verlust (oder den Gewinn) an Oxid während des Verfahrens durch Änderung des Durchsatzes bzw. der Durchsatzverhältnisse der drei gasförmigen Hauptreaktionsteilnehmer NF&sub3;, O&sub2; und SiF&sub4; zu steuern. Dies wird dadurch erzielt, daß man einen gewöhnlichen, nichtmodifizierten Reaktor 10 (Fig. 1) verwendet. Die Wirkungen der ausgewählten Betriebsgase sind weiter unten zusammengefaßt.
  • Die entsprechenden Gleichgewichtsreaktionen für SiF&sub4;, NF&sub3; und O&sub2; sind:
  • Reaktion A: SiF&sub4; + O&sub2; SiO&sub2; + 4F
  • Reaktion B: 2NF&sub3; N&sub2; + 6F
  • Reaktion A beherrscht den Selektivitätsmechanismus, da sich das SiO&sub2; abscheidet und Fluor ein Nitridätzmittel ist. Wie Reaktion B zeigt, erhöht Fluor die Nitridätzgeschwindigkeit.
  • Die Nitridätzgeschwindigkeit ist direkt proportional zum Durchsatz von SiF&sub4;, das hier als Hauptnitridätzmittel verwendet wird.
  • Wie bereits erwähnt, und wie in den Figuren 2 und 3 dargestellt, steigert ein erhöhter Durchsatz von NF&sub3; die Nitridätzgeschwindigkeit und den Oxidverlust.
  • Die Oxidätzgeschwindigkeit wird durch den Photoresistbelag auf dem Wafer beeinflußt. Genauer gesagt ist die Oxidätzgeschwindigkeit bei konstanten Verfahrensbedingungen umgekehrt proportional zur vom Photoresist bedeckten Fläche des Wafers, das heißt durch die Verminderung bzw. Erhöhung der vom Photoresist bedeckten Fläche wird der Oxidverlust während des Ätzens erhöht bzw. vermindert. Das Photoresist wirkt als Sauerstoffgetter, das die Bildung und Abscheidung von SiOx verlangsamt bzw. verhindert, was der Hauptfaktor bei der Steuerung von Oxidverlust bzw. -gewinn und Selektivität ist. Wie weiter unten erörtert werden wird, kann die für die Erstellung von integrierten Schaltungen und die damit verbundene Nitridätzstufe erforderlich große Fläche an Photoresistbelag durch die Erhöhung des Sauerstoffdurchsatzes ausgeglichen werden.
  • Wie bereits erwähnt, ist die Oxidätz- bzw. -abscheidungsgeschwindigkeit durch Änderung des Prozentgehalts an Sauerstoff im Gesamtgasstrom beeinfluß- und dadurch steuerbar. Wie die Figuren 4 und 5 zeigen, sind nämlich die Nitridätzgeschwindigkeit und die Oxidätzgeschwindigkeit umgekehrt proportional zum Sauerstoffgehalt im Gesamtgastrom. Die Oxid- und die Nitridätzgeschwindigkeit reagieren jedoch unterschiedlich auf die Erhöhung des Sauerstoffdurchsatzes. Dem ist zu verdanken, daß man sich den Selektivitätsmechanismus zunutze machen kann. Überdies trägt die Zugabe von NF&sub3; zur Steuerung des Selektivitätsmechanismus bei.
  • Aus Fig. 4 wird deutlich, daß durch die Verminderung bzw. Erhöhung des Sauerstoffdurchsatzes im Verhältnis zu den anderen Gasen außerdem die ausgewählte Abscheidung von SiOX, gewöhnlich SiO&sub2;, auf dem Oxid vermindert bzw. erhöht und infolgedessen der Oxidverlust während des Ätzvorgangs erhöht bzw. vermindert werden. Siehe Reaktion A. Die Erhöhung des Sauerstoffdurchsatzes kann die Siliziumoxidabscheidungsgeschwindigkeit so erhöhen, daß unbegrenzte Selektivität in bezug auf das Oxid (Ätzgeschwindigkeit 0) und somit reine Oxidabscheidung bzw. eher Oxidgewinn als Oxidverlust gewährleistet sind. Die Änderung des Sauerstoffdurchsatzverhältnisses erlaubt somit die Auswahl des Verhältnisses Oxidätzung zu Oxidabscheidung aus einem durchgehenden Geschwindigkeitsbereich, d.h. von hohen Ätzgeschwindigkeiten auf der einen Seite über null Ätzung/Abscheidung bis hin zur Abscheidung. Überdies hat unsere Auffassung von der unterschiedlichen Wirkung des Photoresistbelags und des Sauerstoffdurchsatzes auf die Oxidätz- bzw. Oxidabscheidungsgeschwindigkeit es ermöglicht, durch Einstellung des Sauerstoffdurchsatz es niedrige Oxidätzgeschwindigkeiten und hohe Selektivität bezüglich verschiedener Photoresistbeläge zu erzielen. Dies bedeutet, daß großflächige Photoresistbeläge durch Erhöhung des Sauerstoffdurchsatzes kompensiert werden können.
  • SiF&sub4; ist ein Ätzmittel (die Nitridätzgeschwindigkeit ist proportional zur SiF&sub4;-Durchflußmenge). Außerdem ist die Wirkung der SiF&sub4;-Durchflußmenge auf das Oxidätzen bzw. die Oxidabscheidung derjenigen der Sauerstoffdurchflußmenge insofern ähnlich, als die Oxidätzgeschwindigkeit (konkret die SiO&sub2;-Ätzgeschwindigkeit) umgekehrt proportional zur SiF&sub4;-Durchflußmenge ist. Durch Verminderung bzw. Erhöhung der Durchflußmenge von SiF&sub4; erhöht bzw. vermindert sich der Oxidverlust.
  • 3. Beispiele und Anwendungen
  • Die weiter unten angeführten Tabellen fassen die optimalen Werte und Bereiche von bevorzugten und geeigneten Werten von Parametern für das Ätzen von Siliziumnitrid auf Siliziumdioxid unter Verwendung des ionengestützten Niederdruckplasmareaktors vom Typ AME 8110 zusammen. Dieser Reaktor kann von der Firma Applied Materials, Inc., Santa Clara, Kalifornien, bezogen werden. Der AME 8110 ist stellvertretend für alle nach dem Niederdruck-Reaktivionenätzverfahren (RIÄ) arbeitenden Plasmaätzreaktoren der Serie 8100 in Fig. 1 schematisch als Anlage 10 dargestellt. Die RIÄ-Anlage 10 umfaßt eine zylinderförmige Reaktionskammer 11 und eine sechseckige Kathode 12, die beispielhaft 24 Wafer, vier auf jeder Seite, enthält und an eine Hochfrequenzstromquelle angeschlossen ist. Die Austrittsöffnung 14 verbindet das Innere der Reaktionskammer 11 mit einer Vakuumpumpe. Die Wände der Reaktionskammer 11 und die Grundplatte 16 bilden die geerdete Anode der Anlage. Die Versorgung mit dem Reaktionsgas erfolgt über die Gaszuleitung 17, welche mit dem Inneren der Kammer 11 über die Einlaßöffnung 18 und über das Leitungssystem 19 mit dem Gasverteilungsring 20 am oberen Ende der Kammer verbunden ist.
  • Die Geometrie der Reaktoranlage 10 ist asymmetrisch, d.h. das Verhältnis Anode zu Kathode ist etwas größer als 2:1, was einen hochenergetischen Beschuß der Kathodenoberfläche 12 in bezug zur Anodenoberfläche 11 bewirkt. Eine derartige Anordnung ermöglicht eine geringere Leistungsdichte und bessere Ätzhomogenität, verringert die Verunreinigung durch die Kammerwände und erleichtert das Erreichen von Ätzanisotropie. Außerdem ermöglicht die Kathodenanordung die vertikale Ausrichtung aller 24 Wafer während des Verfahrens, um die Wafer so wenig wie möglich der Einwirkung von aus Partikeln bestehenden Stoffen auszusetzen.
  • Bei derartigen RIÄ-Anlagen überwiegt eine Ätzkomponente aus stark gebündeltem mechanischen Ionenbeschuß die stärker isotrope chemische Komponente und verleiht den Ätzeigenschaften des Systems hohe Anisotropie. Infolgedessen werden RIÄ-Anlagen für die Ätzstufen von hochdichten, kleine Abmessungen aufweisenden IS-Anwendungen wie hoch- und höchstintegrierten Schaltkreisen bevorzugt. Die Verwendung von Ätzvorrichtungen vom Typ AME 8110 und solchen der Serie 8100 hat ausschließlich illustrierenden Charakter. Das Verfahren konnte auch mit Ätzvorrichtungen der Serie 8300, die ebenfalls von der Firma Applied Materials, Inc., Santa Clara, Kalifornien, bezogen werden können, erfolgreich durchgeführt werden. Ganz allgemein wird das vorliegende Verfahren vorwiegend chemisch gesteuert und sollte deshalb für alle im Plasmazustand arbeitenden Anlagen, die die beschriebenen Gase zu verarbeiten vermögen, verwendet werden.
  • Die drei Spalten der Tabelle beschreiben in aufsteigender Reihenfolge der Verwendbarkeit drei Bereiche für die Verfahrensparameter zum Ätzen von Siliziumnitrid ohne Beschädigung der Oxidunterlage: Spalte 1 beschreibt einen Bereich von Parametern, die erwartungsgemäß - bezogen auf die in Spalte 2 und 3 dargestellten Daten - zweckmäßige Ergebnisse liefern. Da das Verfahren chemisch gesteuert ist, kann es überdies auf jedwedes Plasmasystem angewandt werden, z.B. auf einen viel weiteren Druckbereich als in der Tabelle angegeben. Spalte 2 beschreibt eine engere und deshalb spezifischere Zusammenstellung von Verfahrensparameterbereichen, die eine bessere Kombination von hoher Siliziumnitridätzgewschwindigkeit, hoher Photoresistselektivität und hoher Selektivität in bezug auf die Oxidunterlage gewährleistet. Spalte 3 zeigt eine bestimmte enge Zusammenstellung von Verfahrensparametern, die gegenwärtig bevorzugt sind, da sie bei voller Beladung mit 24 6"-Wafern eine Kombination aus hohen Nitridätzgeschwindigkeiten, angemessener Photoresistselektivität und sehr hoher Selektivität in bezug auf die Oxidunterlage gewährleisten. Ätzparameter Siliziumnitrid/SiO&sub2;
  • (1) Thermisches LPCVD Nitrid/Thermisches Oxid
  • (2) Plasmanitrid/Thermisches Oxid
  • Die obige Tabelle hat erklärenden Chrarakter. Sie betrifft das Ätzen einer Schicht aus thermischem LPCVD-Siliziumnitrid bzw. Plasmanitrid mit einer Stärke von ca. 1000-3000 Angström (10Å = 1nm), die über einer Schicht aus thermisch gewachsenem Siliziumdioxid mit einer Stärke von 80-1000 Angström gebildet wurde, unter Verwendung einer 0,6-1,5µm starken organischen Photoresistmaske vom Typ AZ1470.
  • Das beispielhafte Ätzverfahren läßt sich kurz wie folgt zusammenfassen:
  • Es umfaßt das Positionieren eines oder mehrerer auf seinen bzw. ihren Seiten den Verbundstoff aus Photoresistmaske, Nitrid und Oxid umfassenden Wafer in einer Ätzanlage vom Typ 8110, und nach den herkömmlichen Reinigungs-, Reifungs- und Kalibrierungsstufen die Zufuhr des Gases bei den genannten Durchsätzen für eine gegebene Verweilzeit sowie die Verwendung eines gegebenen Kammerdrucks und einer gegebenen Leistungseinstellung.
  • Die Ergebnisse sind wie angegeben ziemlich gut. Die Photoresistselektivität ≥ 0:5, 1:1 bzw. 1:1 für den breiten, optimalen bzw. bevorzugten Bereich bei Vollast. Die Selektivität in bezug auf die thermische Oxidunterlage in Verbindung mit dem thermischen LPCVD-Nitrid ist > 3:1, > 5:1 bzw. ca. 15:1 für die drei Bereiche. Außerdem ist die Selektivität in bezug auf die thermische Oxidunterlage > 5:1, > 10:1 bzw. ca. 25:1 für das Plasmanitrid. Unser Verfahren gewährleistet eine ausgezeichnete Steuerung der Linienstärke und ein vertikales Nitridprofil, vermindert den Linienstärkenverlust, vermindert schnabelförmige Einrisse während der thermischen LOCOS-Oxidation und erlaubt dabei eine bessere Bestimmung der Kanalweite bei MOSanordnungen. Die Gesamtgleichmäßigkeit ist ± 4% verglichen zu ± 10% früherer Verfahren unter Verwendung des 8110 bei bekannten Verfahren unter Erzielung hoher Oxidselektivität. Aufgrund der hohen Selektivität und ausgezeichneten Gleichmäßigkeit ist die Gleichmäßigkeit des verbleibenden thermischen Oxids weitaus besser als diejenige, die durch Verfahren des Standes der Technik gewährleistet wird.
  • Wie in den Spalten 2 und 3 der Tabelle angegeben, sind die richtigen Durchsatzverhältnisse von NF&sub3;, O&sub2; und SiF&sub4; für das Erreichen und Steuern hoher Selektivität in bezug auf das Oxid überaus wichtig. Außerdem ist der Druckbereich sehr wichtig für die Steuerung und Aufrechterhaltung der Anisotropie und der kritischen Abmessungen. Es wird angenommen, daß ein erheblicher Druckanstieg im Reaktor vom Typ AME 8110 die Steuerung der kritischen Abmessungen und wahrscheinlich die Gleichmäßigkeit der Ätzgeschwindigkeit vermindert.
  • Wie in der Tabelle angegeben, kann ein Inertgas wie Helium dem Reaktionsgasgemisch aus NF&sub3;, SiF&sub4; und O&sub2; zugesetzt werden, um die Gleichmäßigkeit zu erhöhen. Des weiteren kann zum Zwecke des Sputterätzens ein Inertgas wie Hehum oder Argon in eingestellten Mengen zugesetzt werden. Außerdem können Freon enthaltende Gase, dargestellt durch die Summenformel CnHyFxXz zugesetzt werden, um die Photoresistselektivität zu erhöhen.
  • Ganz allgemein kann das oben beschriebene Verfahren leicht auf Ätzschichten angewandt werden, die flüchtige, reaktionsfähiges Fluor enthaltende Substanzen bilden, wo hohe Selektivität in bezug auf das darunterliegende oder maskierende Siliziumoxid gefordert ist. Neben der Siliziumnitridätzung unter Verwendung von NF&sub3;/SiF&sub4;/O&sub2; und NF&sub3;/SiF&sub4;/O&sub2;/He kann das Verfahren leicht auf das selektive Ätzen von dotiertem und undotiertem über Siliziumdioxidunterlagen gebildeten Polysilizium unter Verwendung von reaktionsfähigen Gasen, bestehend aus NF&sub3;/SiF&sub4;/O&sub2;/CnHyFxXz, auf das selektive Ätzen von Wolfram auf Siliziumdioxidunterlagen unter Verwendung von reaktionsfähigen Gasen, bestehend aus NF&sub3;/SiF&sub4;/O&sub2;/CnHyFxXz, und auf das selektive Ätzen von dotiertem und undotiertem Einkristallsilizium mit hohere Selektivität in bezug auf das darunterliegende Siliziumdioxid und/oder auf eine Siliziumdioxidmaske unter Verwendung von NF&sub3;/SiF&sub4;/O&sub2; angewandt werden. Eine solche Anwendung ist die Einkristalleinkerbungsätzung. Es kann außerdem möglich sein, das Verfahren auf die Planarabscheidung von Plasmasiliziumdioxiden über Polysilizium oder Aluminiumlinien unter Einsatz von Abscheidung und Wiederwegätzen auszudehnen. Die Planarabscheidung von Siliziumdioxid könnte zur Passivierung der Anordnung verwendet werden. Das Vermögen der Reaktivionenätzanlagen AME 8100 und AME 8300 im Batchmodus, in situ und in mehreren Stufen zu arbeiten, konnte erfolgreich auf die vorliegende Erfindung angewandt werden, um 1 Megabit- und größere beerdigte C-Glieder herzustellen. Weitere Anwendungen umfassen die isotrope Entfernung von organischen Materialien (wie Photoresist und Polyimide) zur Profilsteuerung unter Verwendung von Verfahren mit mehrstufiger Profilierung.

Claims (4)

1. Verfahren zum selektiven Ätzen von Siliziumnitrid bei hoher Selektivität im Hinblick auf das Photoresist und das Siliziumoxid unter Verwendung eines Gasgemisches, dadurch gekennzeichnet, daß das Gasgemisch SiF&sub4;, Sauerstoff und NF&sub3; umfaßt.
2. Verfahren zum selektiven Ätzen von Siliziumnitrid nach Anspruch 1, wobei das Siliziumnitrid über einer Unterlage aus Siliziumoxid angeordnet ist und eine darauf ausgebildete Photoresistmaske aufweist.
3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß der Reaktionsgasstrom zur Steigerung der Selektivität im Hinblick auf das Photoresist ein Freon enthaltendes Gas umfaßt.
4. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß der Reaktionsgasstrom außerdem noch ein Inertgas umfaßt, ausgewählt unter Argon und/oder Helium umfassenden Gasen.
DE3856022T 1987-03-20 1988-03-18 Selektives Ätzen dünner Schichten Expired - Fee Related DE3856022T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/028,242 US4793897A (en) 1987-03-20 1987-03-20 Selective thin film etch process

Publications (2)

Publication Number Publication Date
DE3856022D1 DE3856022D1 (de) 1997-10-16
DE3856022T2 true DE3856022T2 (de) 1998-02-19

Family

ID=21842348

Family Applications (1)

Application Number Title Priority Date Filing Date
DE3856022T Expired - Fee Related DE3856022T2 (de) 1987-03-20 1988-03-18 Selektives Ätzen dünner Schichten

Country Status (5)

Country Link
US (1) US4793897A (de)
EP (1) EP0283306B1 (de)
JP (1) JPH0719774B2 (de)
AT (1) ATE158110T1 (de)
DE (1) DE3856022T2 (de)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5188704A (en) * 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5000771A (en) * 1989-12-29 1991-03-19 At&T Bell Laboratories Method for manufacturing an article comprising a refractory dielectric body
US4978420A (en) * 1990-01-03 1990-12-18 Hewlett-Packard Company Single chamber via etch through a dual-layer dielectric
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5279705A (en) * 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
JPH05267249A (ja) * 1992-03-18 1993-10-15 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
US5318667A (en) * 1991-04-04 1994-06-07 Hitachi, Ltd. Method and apparatus for dry etching
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5772832A (en) * 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5217567A (en) * 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5338395A (en) * 1993-03-10 1994-08-16 Micron Semiconductor, Inc. Method for enhancing etch uniformity useful in etching submicron nitride features
US5700580A (en) * 1993-07-09 1997-12-23 Micron Technology, Inc. Highly selective nitride spacer etch
US5387312A (en) * 1993-07-09 1995-02-07 Micron Semiconductor, Inc. High selective nitride etch
KR100366910B1 (ko) * 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5854136A (en) * 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
TW409152B (en) 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
US5922622A (en) * 1996-09-03 1999-07-13 Vanguard International Semiconductor Corporation Pattern formation of silicon nitride
US5877090A (en) * 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
JPH11111686A (ja) * 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
US6239011B1 (en) * 1998-06-03 2001-05-29 Vanguard International Semiconductor Corporation Method of self-aligned contact hole etching by fluorine-containing discharges
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
US6069087A (en) * 1998-08-25 2000-05-30 Micron Technology, Inc. Highly selective dry etching process
KR100278996B1 (ko) * 1998-12-18 2001-02-01 김영환 반도체장치의 콘택 형성방법
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
KR100381961B1 (ko) 1999-04-26 2003-04-26 삼성전자주식회사 반도체 기판 상에 질화된 계면을 형성하는 방법
US6368974B1 (en) * 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US6270634B1 (en) 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
JP2004273532A (ja) * 2003-03-05 2004-09-30 Hitachi High-Technologies Corp プラズマエッチング方法
KR100640958B1 (ko) * 2004-12-30 2006-11-02 동부일렉트로닉스 주식회사 보호막을 이용한 씨모스 이미지 센서 및 그 제조방법
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US20080124937A1 (en) * 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
CN102024696B (zh) * 2009-09-11 2012-08-22 中芯国际集成电路制造(上海)有限公司 开口及其形成方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
TWI497586B (zh) * 2011-10-31 2015-08-21 Hitachi High Tech Corp Plasma etching method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103779203B (zh) * 2012-10-17 2016-11-02 株式会社日立高新技术 等离子蚀刻方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6097192B2 (ja) 2013-04-19 2017-03-15 東京エレクトロン株式会社 エッチング方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9576815B2 (en) * 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
WO2016172740A2 (en) * 2015-11-10 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching reactants and plasma-free oxide etching processes using the same
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102523717B1 (ko) * 2016-05-29 2023-04-19 도쿄엘렉트론가부시키가이샤 선택적 실리콘 질화물 에칭 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6725176B2 (ja) * 2016-10-31 2020-07-15 株式会社日立ハイテク プラズマエッチング方法
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020008703A1 (ja) 2019-04-19 2020-01-09 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN118265577A (zh) 2021-09-16 2024-06-28 Ppg工业俄亥俄公司 通过施加脉冲红外辐射来固化涂层组合物
WO2024059451A1 (en) 2022-09-16 2024-03-21 Ppg Industries Ohio, Inc. Solvent-borne coating compositions comprising a water-dispersible polyisocyanate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4303467A (en) * 1977-11-11 1981-12-01 Branson International Plasma Corporation Process and gas for treatment of semiconductor devices
US4264409A (en) * 1980-03-17 1981-04-28 International Business Machines Corporation Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
US4422897A (en) * 1982-05-25 1983-12-27 Massachusetts Institute Of Technology Process for selectively etching silicon
US4431477A (en) * 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
JPS6020516A (ja) * 1983-07-14 1985-02-01 Tokyo Denshi Kagaku Kabushiki 窒化シリコン膜のドライエツチング方法
JPS6175529A (ja) * 1984-09-21 1986-04-17 Toshiba Corp ドライエツチング方法及び装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4568410A (en) * 1984-12-20 1986-02-04 Motorola, Inc. Selective plasma etching of silicon nitride in the presence of silicon oxide
DE3752259T2 (de) * 1986-12-19 1999-10-14 Applied Materials Bromine-Ätzverfahren für Silizium

Also Published As

Publication number Publication date
DE3856022D1 (de) 1997-10-16
JPH0719774B2 (ja) 1995-03-06
US4793897A (en) 1988-12-27
ATE158110T1 (de) 1997-09-15
EP0283306A3 (de) 1990-07-18
JPS641236A (en) 1989-01-05
EP0283306A2 (de) 1988-09-21
EP0283306B1 (de) 1997-09-10

Similar Documents

Publication Publication Date Title
DE3856022T2 (de) Selektives Ätzen dünner Schichten
DE68928291T2 (de) Veraschungsverfahren zum Entfernen einer organischen Schicht auf einer Halbleiteranordnung während ihrer Herstellung
DE69121047T2 (de) Ätzverfahren für Materialien aus Oxid
DE68928826T2 (de) Reaktives Ionenätzen von Silicium enthaltenden Materialien mittels Bromwasserstoff
DE3784117T2 (de) Rie-verfahren um isolationsrillen mit senkrechten flanken in silizium und polycid zu aetzen.
DE68923247T2 (de) Verfahren zum Erzeugen eines Fotolackmusters.
EP1444724B1 (de) Photolithographisches strukturierungsverfahren mit einer durch ein plasmaverfahren abgeschiedenen kohlenstoff-hartmaskenschicht mit diamantartiger härte
DE4107006C2 (de)
DE69228333T2 (de) Trockenätzverfahren
DE69634194T2 (de) Verfahren zur bearbeitung einer oberfläche
DE3118839C2 (de)
DE69010308T2 (de) Verfahren zur Abscheidung von Siliziumdioxid hoher Qualität mittels durch Plasma aktivierter Abscheidung aus der Gasphase.
DE68926855T2 (de) Trockenätzverfahren
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE19844102C2 (de) Herstellverfahren für eine Halbleiterstruktur
DE3103177C2 (de)
DE69401518T2 (de) Reaktive-Ion-Ätzung von Indium-Zinn-Oxid
EP0094528A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsilizid und Polysilizium bestehenden Doppelschichten auf integrierte Halbleiterschaltungen enthaltenden Substraten durch reaktives Ionenätzen
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
DE3852619T2 (de) Erhöhung der Ätzgewschwindigkeit in fluorierten halogenkohlenstoff oxydierenden Plasmas mit chlorfluorierten kohlenstoffhaltigen zusätzen.
DE10328578A1 (de) Hartmaske aus amorphen Kohlenstoff-Wasserstoff-Schichten
DE69130787T2 (de) Ätzverfahren für eine leitende Doppelschicht-Struktur
DE68928977T2 (de) Trockenätzen mit Wasserstoffbromid oder Brom
EP0338102A1 (de) Verfahren zur Herstellung von integrierten Halbleiterstrukturen welche Feldeffekttransistoren mit Kanallängen im Submikrometerbereich enthalten
DE69331862T2 (de) Trockenätzverfahren eines Polyzids ohne Verwendung von FCKW-Gasen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee