DE3214626A1 - Verfahren zum selektiven aetzen von polykristallinem siliziummaterial - Google Patents

Verfahren zum selektiven aetzen von polykristallinem siliziummaterial

Info

Publication number
DE3214626A1
DE3214626A1 DE19823214626 DE3214626A DE3214626A1 DE 3214626 A1 DE3214626 A1 DE 3214626A1 DE 19823214626 DE19823214626 DE 19823214626 DE 3214626 A DE3214626 A DE 3214626A DE 3214626 A1 DE3214626 A1 DE 3214626A1
Authority
DE
Germany
Prior art keywords
etching
polycrystalline silicon
gas
reaction chamber
silicon material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19823214626
Other languages
English (en)
Inventor
Adir 01701 Framingham Mass. Jacob
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LFE Corp
Original Assignee
LFE Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LFE Corp filed Critical LFE Corp
Publication of DE3214626A1 publication Critical patent/DE3214626A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Silicon Compounds (AREA)
  • Chemical Vapour Deposition (AREA)

Description

Verfahren zum selektiven Ätzen von polykristallinem
Siliziummaterial
Die Erfindung bezieht sich allgemein auf die Herstellung von Halbleitern und Insbesondere auf eine Plasmaätztechnik zum Ätzen von polykristallinem Silizium (Polysilizium).
Die Herstellung von Halbleiteranordnungen und der zugehörigen Dünnschichtverdrahtung erfordert Üblicherwelse das Ätzen von die Anordnung bildenden bestimmten Schichten. Typisch wird die zu ätzende Fläche mit einem Material, wie z. B. Photoresist, maskiert, wobei die Maske ein Muster von Linien und Flächen bildet, das die zu ätzende Schicht freilegt. Bei früheren Ausführungsarten wurde das Ätzen nach einem nassen chemischen Verfahren durchgeführt, bei dem das Ätzmaterial, typisch oxidierende Mineralsäuren, die freigelegte Oberfläche kontaktierte.
Neuere Verfahren verwenden Gasplasma, insbesondere
:»32Η626
Fluorbasisgase, die aus der gesättigten Halogenkohlenstoffgruppe ausgewählt sind und einige der unerwünschten Wirkungen der nassen chemischen Arbeitsweise beseitigen. Jedoch war das Ätzen in beiden Verfahren grundsätzlich isotrop. Beim isotropen Ätzen sphreitet das zufällige Ätzen mit gleichmäßiger Geschwindigkeit in allen Richtungen fort. Während die zu ätzende Oberfläche entfernt wird,, findet die Ätzwirkung nicht nur vertikal in die Oberfläche hinein, sondern auch horizontal gegen die Kante der durch das Ätzen geschaffenen Ausnehmung statt. So erleidet die zu ätzende Fläche eine Hinterschneidung, in der das Material nicht nur vertikal in Ausrichtung zur Kante der öffnung in der Photoresistmaske geätzt wird, sondern sich der Ätzbereich auch unterhalb der Photoresistmaske erstreckt. Typisch reicht diese Hinterschneidung horizontal im wesentlichen gleich weit wie das vertikale Ätzen.
Da der Trend zur Miniaturisierung fortschreitet, wird die Bemessung zu Mikrometer- und Submirometerabraessungsbereichen Tatsache«, Dies stellt strenge Anforderungen an Ätzprofile, insbesondere in der Richtung vertikaler Ätzprofile mit geringer Hinterschneidung. Diese Art des Plasmaätzens, gewöhnlich als anisotropes Ätzen bezeichnet, ist das Ergebnis von Richtwirkungen, die das isotrope Ätzen unterdrücken. Im Idealfall liefert es eine vertikale Ätzwand in einer derjenigen sehr nahe kommenden Ebene, die durch die Resistkante vor dem Ätzvorgang vorgezeichnet ist.
Da die Lithographietechniken verbessert werden, werden Linienmuster von Mikrometer- und Submikrometer-
•O2U626
abmessungen In Photoresistbildern möglich. Um diese Bilder wirksam auf verschiedene Substrate zu übertragen, 1st ein verläßliches, reproduzierbares anisotropes Ätzen erforderlich. Bisher wurde, um den Hinterschneidungseffekt des isotropen Ätzens zu kompensieren, die Linienbreite in der Maske enger als die in der zu ätzenden Schicht gewünschte Linienbreite gemacht, wodurch die Erweiterung der Linienbreite infolge der Hintersehne!dung vorweggenommen wird. Mit dem Erfordernis viel kleinerer Abmessungen von Linienbreite und Zwischenräumen machte der sich aus der Hinterschneidung ergebende Mangel an Steuerung und Reproduzierbarkeit das isotrope Ätzen unanne.hmbar.
Ändere bekannte Techniken verwendeten ein reaktives Ionenätzen, das bei niedrigem Druck durchgeführt wird. Jedoch gibt es, obwohl diese Technik gewisse anisotrop geätzte Gefüge mit einiger Selektivität liefern kann, eine erhebliche Unsicherheit bezüglich einer Strahlungsschädigung infolge des hochenergetisch einfallenden Ionenflusses.
Ein anderes, mit integrierten Siliziumschaltungen sehr großen Maßstabes (VLSI) zusammenhängendes Problem ist die Bestrebung, daß eine vernünftig hohe Selektivität in der Ätzgeschwindigkeit von Polysilizium (polykristallinem Silizium) gegenüber der Ätzgeschwindigkeit des darunterliegenden Siliziumdioxids auftritt, um während des Ätzens eine genügende Kontrolle zur Bewahrung dünner und sehr dünner dielektrischer Siliziumdioxidunterschichten in Feldeffektanordnungen zu sichern.
.\32H626
Der Erfindung liegt daher die Aufgabe zugrunde, eine Plasmaätztechnik zur Anwendung während der Halbleiterherstellung zu entwickeln, bei der ein hochwirksames Polysilizium-Ätzen mit einem hohen Selektivitätsgrad gegenüber dem Ätzen von Siliziumdioxid stattfindet und bei der ein hochanisotropes Ätzen auftritt, um im wesentlichen vertikale Profile in den in der Polysiliziumschicht geätzten Mustern zu erhalten, wobei das Abtragungsverfahren vorrangig auf chemischen Wechselwirkungen beruht und von ungünstigen Strahlungsschädigungswirkungen frei ist.
Gegenstand der Erfindung, womit diese Aufgabe gelöst wird„ ist ein Verfahren zum selektiven Ätzen von polykristallinem Siliziummaterial, mit dem Kennzeichen, daß man das polykristalline Siliziummaterial in einer Reaktionskammer anordnet und das polykristalline Silisiummaterial in der Kammer einem im wesentlichen aus Hochfrequenzentladungs-CFCl« bestehenden Gasplasma zum Ätzen des Substrats aussetzte
Vorzugsweise wird das Gasplasma bei einem Druck zwischen 66,7 und 200 ,ubar (zwischen 50 und 150 ,uHg) eingesetzt.
Weitere Ausgestaltungen dieses Verfahrens sind in den Unteransprüchen 3 bis 5 gekennzeichnet»
Gegenstand der Erfindung ist außerdem ein Verfahren zum Herstellen einer Halbleiteranordnung mit einer polykristallinen Siliziumschicht und einer Siliziumdioxidschicht, bei dem man die polykristalline Silizium™
.'32U626
schicht bevorzugt ätzt, mit dem Kennzeichen, daß man zum bevorzugten Ätzen der polykristallinen Siliziumschicht die Halbleiteranordnung in einer Reaktionskammer anordnet und die Halbleiteranordnung in der Reaktionskammer einem im wesentlichen aus CFCl3 bestehenden Ätzgas bei einem Druck zwischen 66,7 und 200 «ubar (zwischen 50 und 150 ,uHg) aussetzt.
Eine Ausgestaltung dieses Verfahrens, bei dem die polykristalline Siliziumschicht mit einem Linienmuster zu ätzen ist, sieht vor, daß die Breiten weniger als 4 .um betragen und dem Gasplasma Strom mit einem Durchsatz zwischen 0,2 und 2 W/cm Stromdichte zugeführt wird.
Erfindungsgemäß besteht also das Gasplasma im wesentlichen aus HF-entladenem "Freon 11" (CFCl3), das bei mäßigen bis niedrigen Drücken, typisch 133 /Ubar (100 /UHg)-, zum hochwirksamen und gleichmäßigen anisotropen Ätzen von Polysilizium verwendet wird.
Das Verfahren ist hochselektiv für Polysilizium gegenüber Siliziumdioxid und erzeugt im wesentlichen vertikal geätzte Profile für Mustereinzelheiten im Bereich von unter 1 ,um bis zu einigen ,um (typisch 1 bis 4 ,um). Nach einer Ausgestaltung beeinflußt der Zusatz von Heliumgas zu "Freon 11" (CFCl3) zur Bildung einer binären Gasmischung vor der Entladung die Photoresistmaske während des Ätzens wegen seiner hohen Wärmeübertragungseignung und der Verhinderung von Heiß-
nur punktbildung günstig. Dies führt zu einer unbedeutenden Beeinträchtigung des Photoresists während des Ätzens des Polysiliziums ohne merkliche Beeinflussung der
..-:32U626
Durchschnittsätzgeschwindigkeit des Polysiliziums selbst« Diese Ätztechnik kann sowohl mit Einzelchargen- als auch mit Produktionsliniensystemen zur Verarbeitung von Halbleiteranordnungen angewandt werden und ist auch zum Ätzen von Polysiliaium beschichtenden Metallsiliziden geeignet, Suizide von Titan,, Tantal,, Molybdän und Wolfram sind gute Beispiele für ein solches Verfahren«
Die Erfindung wird anhand einer in der einzigen Figur der Zeichnung schematisch veranschaulichten, zur Durchführung des erfindungsgemäßen Verfahrens geeigneten Vorrichtung näher erläutert«
In der Figur ist eine sur Verwendung beim erfindungsgemäßen Verfahren geeignete Vorrichtung veranschaulicht» Die Reaktionskammer 22 ist allgemein von zylindrischer Gestalt,, typisch aus Quarz oder einer anderen Art geeigneten Glases gebildet und durch einen O-Ring 28 gegenüber einsr Basisplatte 29 abgedichtet, die üblicherweise ebenfalls aus Quarz gebildet wird. Am oberen Ende der Reaktionskammer 22 ermöglicht eine koaxiale Gasverteilungsstromeinheit 24 den Eintritt von Gas in die Reaktionskammer 22» Eine allgemein scheibenförmig© und mit temperaturgesteuertem Wasser gekühlte Elektrode 25 wird von einer Haltestange 26 getragen und ist einstellbar von der Oberseite der Reaktionskammer 22 durch eine Elektrodenführung 43 und einer Reibungskupplung 44 positioniert« Ein geeignetes Material für diese Elektrode 25 ist Aluminium» Das zu behandelnde Halbleitermaterial 30 ist auf einem wassergekühlten und temperaturgesteuerten Tisch
•32U626
mit Wasserzufuhr durch ein Rohr 37 angeordnet. Eine öffnung 33 in der Basisplatte 29 ermöglicht eine Verbindung einer (nicht dargestellten) Vakuumpumpe mit dem Inneren der Reaktionskammer 22 durch ein (nicht dargestelltes) automatisches Drosselsteuerventil. Füllgas zur Reaktionskammer wird durch ein Paar von Ventilen 40 und 41 zugeführt. Dem Ventil 40 wird Gas von einer (nicht dargestellten) Ätzgasquelle 36 durch einen Mengendurchflußregler 34 zugeführt. Eine (nicht dargestellte)Trägergasquelle 38 ist durch einen zweiten Mengendurchflußregler 35 und ein Ventil 41 angeschlossen. Der Druck in der Reaktionskammer 22 wird mit irgendeinem herkömmlichen Druckmesser, wie z. B. einem elektrischen Kapazitätsmanometer 46, gemessen. HF-Energie ist von einem (nicht dargestellten) HF-Generator durch eine Kopplungsschaltung 54 mit einstellbaren Induktivitäts- bzw. Kapazitätsbauelementen 52, 50, 56 mit der Elektrode 25 verbunden. Der HF-Generator hat typisch eine Frequenz von 13 MHz und eine variable Ausgangsleistung. Geeignete Abmessungen für die Elektrode 25 sind eine Scheibe von 11,43 cm Durchmesser und ein Abstand von 3,175 cm zwischen der Scheibe und dem zu behandelnden Halbleitersubstrat.
Die zu ätzenden Substrate 30, die typisch eine dünne Siliziumdioxidschicht aufweisen, über der eine Polysiliziumschicht liegt, werden auf dem gekühlten Substrattisch 27 angebracht, der auf Erdpotential gehalten werden kann. Die Polysiliziumschicht ist gewöhnlich mit Phosphor auf einen Flächenwiderstand im Bereich von 10 bis 20 Ohm je Quadrat dotiert. Die Silizium-
OO I» O ο
■32U626
- ΊΟ -
dioxidunterschicht ist gewöhnlich thermisch aufgewachsen und verdichtet. Das Polysilizium wurde mit einem "Shipley 1350 J"-Photoresist gemustert und mild ausgeheizte Die Reaktionskammer 22 wird auf einen Basisdruck von angenähert 6,67 bis 20 ubar (5 bis 15 yUHg) evakuiert, bei welchem Punkt das Ätzmittel mit oder ohne Heliumgas eingeführt wird. Als der geeignete Druck des Ätzgases erhalten war, wurde die HF-Entladung begonnen,, und man begann die Ätzreaktion und ließ sie bis zur Vollendung ablaufen ο Der Ätzendpunkt zum Abschluß des Ätzvorganges wurde visuell durch Beobachtung des Verschwlndens der mit der Polysiliziumschicht zusammenhängenden Interferenzstreifen bestimmtο Als der Endpunkt erreicht war, unterbrach man die Entladung und den Gasstrom und ließ das System auf den Basisdruck zurückpumpen. Zu diesem Zeitpunkt wurde die Reaktionskammer 22 mit Argon aufgefüllt, und die Probe wurde entnommen.
Nach der Entnahme der Probe wurde das Anordnungs™ muster, für das die Breite der Linien und Zwischenräume vor dem Ätzen gemessen worden war, nach Entfernung des Photoresists erneut untersucht, um die Abmessungssteuerung während des Ätzens zu bestimmen. Diese Vergleichsmes^ungen lieferten Angaben bezüglich der Ätzschärfe, die mit diesem Verfahren erhalten werden kann« In anderen Versuchen wurden Ätzprofile nach dem Ätzen, jedoch vor Entfernung des Photoresists,durch Spalten der Probe und deren Untersuchung mit einem Abtastelektronenmikroskop untersucht«.
Druck (,ubar)
HF-Strgmdichte (W/ cnT)
Tabelle I
PoIy-S i-Ätzgeschwindigkeit (nm/min)
SiO2-AtZ-geschwindigkeit (nm/min)
Selektivität
Freon 13
(CF3Cl)
66,7
133,3
200
0,24
0,24
0,24
0,98
0,98
0,98
1,47
1,47
1,47
1,96
1,96
1,96
30
70
110
90
200
400
190
360
620;
220
430
680
27,5
66/3
144,5
8
4,-
5
7
Fr eon 12"
(CF2Cl2)
66,7
133,3
200
0,24
0,24
0,24
0,98
0,98
0,98
1,47
1,47
1,47
1,96
1,96
1,96
50
110
170
160
330
530
310
470
680
420
570
760
24,7
45,5
75,5
17
1I',
5
8
Fr eon ll"
(CFCl3)
66,7
133,3
200
0,24
0,24
0,24
0,98
0,98
0,98
1,47
1,47
1,47
1,96
1,96
1,96
80
170
220
250
520
640
480
580
740
570
670
840
17,8
25,7
40p
32
26
21
HF-Elektrodendurchmesser 11,43 cm
Abstand zwischen Elektrode und Substrattisch 3,175 cm
* Selektivität definiert als Durchschnittsätzaeschwindigkeitsverhältnis Polysilizium : SiO_
Die Xtzgeschwindigkeitsspalten entsprechen in ihrer Stellung den Spalten der Stromdichte, so daß beispielsweise die erste Ätzgeschwindigkeitsspalte der ersten Stromdichtespalte entspricht.
CD K> CD
• » et,
> β ο B
- 12 -
Die Tabelle I zeigt die mit "Freon 11, 12 und 13"-Plasma. erhaltenen Ätzergebnisse. Wie angegeben, verbessern sich sowohl die Ätzgeschwindigkeit für Polysilizium als auch die Ätzselektivität Polysilizium s Siliziumdioxid sehr erheblich für "Freon 11" (CFCl3). Zusätzlich wurde beobachtet, daß die entsprechende Ätzgeschwindigkeitsgleichmäßigkeit bei Plättchen von 7,62 cm Durchmesser innerhalb des Bereichs von 3 bis 5 % liegt.
Mit "Freon 11" wurden Hinterschneidungswerte in dem Bereich von 0,05 bis 0,1 ,um an einer Seite unter Verwendung von Abtastelektronenmikroskopschliffbildern
beobachtet.
Wenn die Ätzmittel mit Heliumgas im Partialdruckbereich von 200 bis 666,7 ,ubar (150 bis 500 ,uHg) verwendet wurden, stellte man einen nur geringen Abfall der Durchschnittsätzgeschwindigkeit von angenähert 7 bis 15 % im Vergleich mit den Werten ohne Helium fest. Wieder wurde der geringste Abfall der Ätzgeschwindigkeit für "Freon 11" beobachtet. Bei dem Zusatz des Heliumträgergases wurde die Photoresisthaltbarkeit verbessert, und die Anisotropie wurde im wesentlichen nicht beeinflußt. Im wesentlichen anisotrope Profile wurden indessen mit allen drei Ätzmitteln erhalten.
Obwohl die Erfindung im Zusammenhang mit einer bestimmten Vorrichtung beschrieben wurde, versteht sich, daß eine Anzahl von Reaktionsgefäßen, von denen einige handelsüblich sind, befriedigend verwendet werden können. Verschiedene Ätzarten, die physikalisch bedingte Methoden, wie reaktives Ionenätzen, reaktives Zerstäubungsätzen
"32U626
oder reaktives Ionenstrahlätzen umfassen, sollten mit den angegebenen Plasmabedingungen unter Verwendung der in Fig. 1 dargestellten Reaktionskammer nach Vornahme entsprechender elektrischer Änderungen verwendbar sein. Beispielsweise kann die HF-Kopplungsschaltung 54 mit dem Substrattisch 27 verbunden werden, während die Elektrode 25 mit Erde verbunden werden kann. Handelsübliche Systeme, die für die Anwendung dieser Offenbarung verfügbar sind, umfassen Ausführungen des "Systems 8001" von "LFE Corporation of Waltham, Massachusetts",das - zum Plasmaätzen von Aluminium und Aluminiumlegierungen ausgelegt ist. Das System besteht aus einer Gruppe von fünf Reaktionskammern, deren jede der oben beschriebenen ähnlich ist. Andere handelsübliche Systeme umfassen das NPFS/POE/PDS 501P" und "1OO2PH von LFE Corporation zum Plasmaätzen von Polysilizium und anderen Silizium enthaltenden Schichten. Diese Systeme weisen eine innere Planarelektrodenausbildung zum gleichzeitigen Ätzen einer Mehrzahl von Substraten auf.
Leerseite

Claims (7)

  1. Patentansprüche
    Verfahren zum selektiven Ätzen von polykristallinem S iliz iummaterial, dadurch gekennzeichnet, daß man das polykristalline Siliziummaterial in einer Reaktionskammer anordnet und
    das polykristalline Siliziummaterial in der Kammer einem im wesentlichen aus Hochfrequenzentladungs-CFCl^ bestehenden Gasplasma zum Ätzen des Substrats aussetzt.
  2. 2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Gasplasma bei einem Druck zwischen 66,7 und 200 ,ubar eingesetzt wird.
  3. 3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß als polykristallines Siliziummaterial eine polykristalline Siliziumschicht über Siliziumdioxid verwendet wird.
  4. 4. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß dem Gasplasma Strom mit einem Durchsatz zwischen 0,2
    2
    und 2 W/cm Stromdichte zugeführt wird.
    65-(LFH 101)-TF
    :»32U62.6
    ■» ο «.
  5. 5. Verfahren nach einem der Ansprüche Ί bis 4, dadurch gekennzeichnet,,
    daß dem Ätzgas ein Heliumträgergas zugesetzt wird.
  6. 6. Verfahren zur Herstellung einer Halbleiteranordnung mit einer polykristallinen Siliziumschicht und einer Siliziumdioxidschicht, bei dem man die polykristalline Siliziumschicht bevorzugt ätzt,
    dadurch gekennzeichnet,
    daß man zum bevorzugten Ätzen der polykristallinen Siliziumschicht
    die Halbleiteranordnung in einer Reaktionskammer anordnet
    die Halbleiteranordnung in der Reaktionskammer einem im wesentlichen aus CFCl3 bestehenden Ätzgas bei einem
    Druck zwischen 66^7 und 200 ,ubar aussetzt.
  7. 7. Verfahren nach Anspruch 6, bei dem die polykristalline Siliziumschicht mit einem Linienmuster zu ätzen ist, dadurch gekennzeichnet,
    daß die Breiten weniger als 4 ,um betragen und dem Gasplasma Strom mit einem Durchsatz zwischen 0,2 und
    2
    2 W/cm Stromdichte zugeführt wird.
DE19823214626 1981-04-20 1982-04-20 Verfahren zum selektiven aetzen von polykristallinem siliziummaterial Withdrawn DE3214626A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/255,495 US4353777A (en) 1981-04-20 1981-04-20 Selective plasma polysilicon etching

Publications (1)

Publication Number Publication Date
DE3214626A1 true DE3214626A1 (de) 1982-11-25

Family

ID=22968580

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19823214626 Withdrawn DE3214626A1 (de) 1981-04-20 1982-04-20 Verfahren zum selektiven aetzen von polykristallinem siliziummaterial

Country Status (5)

Country Link
US (1) US4353777A (de)
JP (1) JPS57183315A (de)
DE (1) DE3214626A1 (de)
FR (1) FR2504155A1 (de)
GB (1) GB2096947B (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0184917A1 (de) * 1984-12-13 1986-06-18 Stc Plc Plasmareaktorgefäss und Verfahren
DE3935189A1 (de) * 1989-10-23 1991-05-08 Leybold Ag Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4466876A (en) * 1981-03-17 1984-08-21 Clarion Co., Ltd. Thin layer depositing apparatus
US4478701A (en) * 1983-12-30 1984-10-23 Rca Corporation Target support adjusting fixture
CA1269950A (en) * 1984-06-22 1990-06-05 Yoshihisa Tawada Glow-discharge decomposition apparatus
US4737235A (en) * 1984-10-01 1988-04-12 Tegal Corporation Process for polysilicon with freon 11 and another gas
EP0197044A1 (de) * 1984-10-01 1986-10-15 Tegal Corporation Ätzverfahren von polysilikonen mittels freon-11 und einem andern gas
US4857138A (en) * 1985-04-03 1989-08-15 Tegal Corporation Silicon trench etch
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US4734157A (en) * 1985-08-27 1988-03-29 International Business Machines Corporation Selective and anisotropic dry etching
DE3786364T2 (de) * 1986-04-14 1993-11-18 Canon Kk Verfahren zur Herstellung einer niedergeschlagenen Schicht.
US4726879A (en) * 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces
US5087418A (en) * 1987-02-25 1992-02-11 Adir Jacob Process for dry sterilization of medical devices and materials
US4917586A (en) * 1987-02-25 1990-04-17 Adir Jacob Process for dry sterilization of medical devices and materials
US4943417A (en) * 1987-02-25 1990-07-24 Adir Jacob Apparatus for dry sterilization of medical devices and materials
US4818488A (en) 1987-02-25 1989-04-04 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4976920A (en) * 1987-07-14 1990-12-11 Adir Jacob Process for dry sterilization of medical devices and materials
US5200158A (en) * 1987-02-25 1993-04-06 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4801427A (en) * 1987-02-25 1989-01-31 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US5171525A (en) * 1987-02-25 1992-12-15 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4931261A (en) * 1987-02-25 1990-06-05 Adir Jacob Apparatus for dry sterilization of medical devices and materials
US4836886A (en) * 1987-11-23 1989-06-06 International Business Machines Corporation Binary chlorofluorocarbon chemistry for plasma etching
US5153142A (en) * 1990-09-04 1992-10-06 Industrial Technology Research Institute Method for fabricating an indium tin oxide electrode for a thin film transistor
US20090098309A1 (en) * 2007-10-15 2009-04-16 Advantech Global, Ltd In-Situ Etching Of Shadow Masks Of A Continuous In-Line Shadow Mask Vapor Deposition System
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610014A1 (de) * 1975-03-14 1976-09-23 Western Electric Co Zerstaeubungsaetzen mit hoher aufloesung

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3654108A (en) * 1969-09-23 1972-04-04 Air Reduction Method for glow cleaning
US3806365A (en) * 1971-08-20 1974-04-23 Lee Corp Process for use in the manufacture of semiconductive devices
USRE30505E (en) 1972-05-12 1981-02-03 Lfe Corporation Process and material for manufacturing semiconductor devices
US3951843A (en) * 1973-01-09 1976-04-20 Lfe Corporation Fluorocarbon composition for use in plasma removal of photoresist material from semiconductor devices
GB1417085A (en) * 1973-05-17 1975-12-10 Standard Telephones Cables Ltd Plasma etching
US3880684A (en) * 1973-08-03 1975-04-29 Mitsubishi Electric Corp Process for preparing semiconductor
US3984301A (en) * 1973-08-11 1976-10-05 Nippon Electric Varian, Ltd. Sputter-etching method employing fluorohalogenohydrocarbon etching gas and a planar electrode for a glow discharge
US3951709A (en) * 1974-02-28 1976-04-20 Lfe Corporation Process and material for semiconductor photomask fabrication
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
US4123663A (en) * 1975-01-22 1978-10-31 Tokyo Shibaura Electric Co., Ltd. Gas-etching device
GB1499857A (en) * 1975-09-18 1978-02-01 Standard Telephones Cables Ltd Glow discharge etching
US4069096A (en) * 1975-11-03 1978-01-17 Texas Instruments Incorporated Silicon etching process
JPS5922380B2 (ja) * 1975-12-03 1984-05-26 株式会社東芝 ハンドウタイソシノ セイゾウホウホウ
US4066037A (en) * 1975-12-17 1978-01-03 Lfe Corportion Apparatus for depositing dielectric films using a glow discharge
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
IT1203089B (it) * 1976-03-03 1989-02-15 Int Plasma Corp Procedimento ed apparecchiatura per eseguire reazioni chimiche nella regione della scarica luminescente di un plasma
DE2705611A1 (de) * 1977-02-10 1978-08-17 Siemens Ag Verfahren zum bedecken einer auf einem substrat befindlichen ersten schicht oder schichtenfolge mit einer weiteren zweiten schicht durch aufsputtern
US4188426A (en) * 1977-12-12 1980-02-12 Lord Corporation Cold plasma modification of organic and inorganic surfaces
US4180432A (en) * 1977-12-19 1979-12-25 International Business Machines Corporation Process for etching SiO2 layers to silicon in a moderate vacuum gas plasma
US4203800A (en) * 1977-12-30 1980-05-20 International Business Machines Corporation Reactive ion etching process for metals
US4162185A (en) * 1978-03-21 1979-07-24 International Business Machines Corporation Utilizing saturated and unsaturated halocarbon gases in plasma etching to increase etch of SiO2 relative to Si
US4182646A (en) * 1978-07-27 1980-01-08 John Zajac Process of etching with plasma etch gas
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4209356A (en) * 1978-10-18 1980-06-24 General Electric Company Selective etching of polymeric materials embodying silicones via reactor plasmas
US4229247A (en) * 1978-12-26 1980-10-21 International Business Machines Corporation Glow discharge etching process for chromium
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
US4214946A (en) * 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4253907A (en) * 1979-03-28 1981-03-03 Western Electric Company, Inc. Anisotropic plasma etching
US4298443A (en) * 1979-08-09 1981-11-03 Bell Telephone Laboratories, Incorporated High capacity etching apparatus and method
US4255230A (en) * 1980-02-22 1981-03-10 Eaton Corporation Plasma etching process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610014A1 (de) * 1975-03-14 1976-09-23 Western Electric Co Zerstaeubungsaetzen mit hoher aufloesung

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
"IEEE Transactions on Electron Devices", Bd. ED-27, Nr. 8, August 1980,S.1346-1351 *
"Philips techn.Rundschau", Bd.38, Nr.7/8, 1979, S. 203-209 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0184917A1 (de) * 1984-12-13 1986-06-18 Stc Plc Plasmareaktorgefäss und Verfahren
DE3935189A1 (de) * 1989-10-23 1991-05-08 Leybold Ag Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen

Also Published As

Publication number Publication date
GB2096947B (en) 1985-01-03
GB2096947A (en) 1982-10-27
US4353777A (en) 1982-10-12
FR2504155A1 (fr) 1982-10-22
JPS57183315A (en) 1982-11-11

Similar Documents

Publication Publication Date Title
DE3214626A1 (de) Verfahren zum selektiven aetzen von polykristallinem siliziummaterial
DE69301942T2 (de) Verfahren und Gerät zur Beseitigung von Oberflächenbeschädigungen in Halbleiter-Materialien mittels Plasma-Ätzen
DE3856022T2 (de) Selektives Ätzen dünner Schichten
EP1444724B1 (de) Photolithographisches strukturierungsverfahren mit einer durch ein plasmaverfahren abgeschiedenen kohlenstoff-hartmaskenschicht mit diamantartiger härte
DE68923247T2 (de) Verfahren zum Erzeugen eines Fotolackmusters.
EP0008359B1 (de) Verfahren zum Herstellen einer Dünnfilmstruktur
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE69232648T2 (de) Verfahren zur Herstellung einer Grabenisolation mittels eines Polierschritts und Herstellungsverfahren für eine Halbleitervorrichtung
EP0019779B1 (de) Schattenwurfmaske zum Strukturieren von Oberflächenbereichen und Verfahren zu ihrer Herstellung
DE3689371T2 (de) Verfahren zur Herstellung einer Halbleiteranordnung einschliesslich der Formierung einer vielschichtigen Interkonnektionsschicht.
DE69837981T2 (de) Herstellung eines Grabens mit einem flaschenähnlichen Querschnitt
DE3118839C2 (de)
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
EP0094528A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsilizid und Polysilizium bestehenden Doppelschichten auf integrierte Halbleiterschaltungen enthaltenden Substraten durch reaktives Ionenätzen
DE3410023A1 (de) Verfahren und aetzmittel zum selektiven reaktiven ionenaetzen von aluminium und aluminiumlegierungen
DE69626562T2 (de) Verfahren zum isotropen Ätzen von Silizium, das hochselektiv gegenüber Wolfram ist
DE3871851T2 (de) Trockenaetzverfahren fuer aluminiumschichten.
CH644405A5 (de) Verfahren zum herstellen eines gegenstandes.
DE112006000308T5 (de) Verfahren zur Verringerung kritischer Abmessungen unter Verwendung mehrerer Maskenschritte
DE2930293A1 (de) Aetzverfahren bei der herstellung eines gegenstandes
DE102011013571A1 (de) Plasmaätzverfahren und Plasmaätzvorrichtung
DE69218069T2 (de) Verfahren zur Herstellung eines planarisierten Halbleiterbauelementes
DE69130787T2 (de) Ätzverfahren für eine leitende Doppelschicht-Struktur
DE3855636T2 (de) Plasma-Entschichtungsverfahren für organische und anorganische Schichten

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8130 Withdrawal