DE2830482C2 - Elektronischer Tongenerator - Google Patents

Elektronischer Tongenerator

Info

Publication number
DE2830482C2
DE2830482C2 DE2830482A DE2830482A DE2830482C2 DE 2830482 C2 DE2830482 C2 DE 2830482C2 DE 2830482 A DE2830482 A DE 2830482A DE 2830482 A DE2830482 A DE 2830482A DE 2830482 C2 DE2830482 C2 DE 2830482C2
Authority
DE
Germany
Prior art keywords
frequency divider
melody
tone
pitch
tone generator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE2830482A
Other languages
English (en)
Other versions
DE2830482A1 (de
Inventor
Mitsuhiro Goto
Masayuki Ikeda
Hidetoshi Komtsu
Takahiro Suwa Nagano Naka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Suwa Seikosha KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Suwa Seikosha KK filed Critical Suwa Seikosha KK
Publication of DE2830482A1 publication Critical patent/DE2830482A1/de
Application granted granted Critical
Publication of DE2830482C2 publication Critical patent/DE2830482C2/de
Expired legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G10MUSICAL INSTRUMENTS; ACOUSTICS
    • G10HELECTROPHONIC MUSICAL INSTRUMENTS; INSTRUMENTS IN WHICH THE TONES ARE GENERATED BY ELECTROMECHANICAL MEANS OR ELECTRONIC GENERATORS, OR IN WHICH THE TONES ARE SYNTHESISED FROM A DATA STORE
    • G10H1/00Details of electrophonic musical instruments
    • G10H1/02Means for controlling the tone frequencies, e.g. attack or decay; Means for producing special musical effects, e.g. vibratos or glissandos
    • G10H1/06Circuits for establishing the harmonic content of tones, or other arrangements for changing the tone colour
    • GPHYSICS
    • G10MUSICAL INSTRUMENTS; ACOUSTICS
    • G10HELECTROPHONIC MUSICAL INSTRUMENTS; INSTRUMENTS IN WHICH THE TONES ARE GENERATED BY ELECTROMECHANICAL MEANS OR ELECTRONIC GENERATORS, OR IN WHICH THE TONES ARE SYNTHESISED FROM A DATA STORE
    • G10H1/00Details of electrophonic musical instruments
    • G10H1/36Accompaniment arrangements
    • GPHYSICS
    • G10MUSICAL INSTRUMENTS; ACOUSTICS
    • G10HELECTROPHONIC MUSICAL INSTRUMENTS; INSTRUMENTS IN WHICH THE TONES ARE GENERATED BY ELECTROMECHANICAL MEANS OR ELECTRONIC GENERATORS, OR IN WHICH THE TONES ARE SYNTHESISED FROM A DATA STORE
    • G10H7/00Instruments in which the tones are synthesised from a data store, e.g. computer organs
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S84/00Music
    • Y10S84/09Filtering
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S84/00Music
    • Y10S84/11Frequency dividers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Acoustics & Sound (AREA)
  • Multimedia (AREA)
  • General Engineering & Computer Science (AREA)
  • Electrophonic Musical Instruments (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)
  • Slot Machines And Peripheral Devices (AREA)

Description

die Adresse der jeweils auszulesenden Daten durch einen Adressenzahler 2 bestimmt wird. Der Zähler 4 teilt die Folgefrequenz der von einem Oszillator 3 erzeugten Impulse gemäß einem Teilungsverhältnis, das von dem aus der Speicherschaltung ausgelesenen Tonhöhenwert bestimmt wird. Zu jedem gewünschten Ton gehört ein entsprechendes Teilungsverhältnis. Das vom programmierbaren Zähler 4 durch Frequenzteilung erzeugte Signal wird mittels einer Verstärkerschaltung 5 verstärkt und dann von einem elektro-akustischen Wandler 6, etwa ein Lautsprecher, in einen akustischen Ton umgewandelt
Eine Möglichkeit, den Klang zu verbessern oder angenehmer zu machen, besteht in einer Beeinflussung der Wellenform etwa mit einem Filter, das Bestandteil der Verstärkerschaltung sein könnte. Eine andere Möglichkeit besteht darin, die Verstärkung der Verstärkerschaltung 5 synchron mit dem Auslesen der Daten aus der Speicherschaltung 1 so zu ändern, daß sich eine gewünschte Hüllkurve einstellt Aus der Speicherschaltung 1 werden gleichzeitig mit den Tonhöhendaten Tonlängendaten ausgelesen, die das Teiiungsverhäitnis eines weiteren programmierbaren Zählers 8 bestimmen. Der Zähler 8 teilt die rolgefrequenz der impulse von einem Oszillator 7, dessen Impulsbreite die kürzeste Tonlänge festlegt Anstelle eines gesonderten Oszillators 7 könnte ein dem Oszillator 3 nachgeschalteter Frequenzteiler verwendet werden.
Die von dem beschriebenen elektronischen Tongenerator abgegebenen Töne sind monoton, und der Tongenerator ist in seiner musikalischen Klangfülle schlechter als eine Spieldose oder dergleichen. Viele Vorteile, die die elektronischen Schaltungen an sich bieten, daß nämlich ein elektronischer Tongenerator verschiedene Melodien erzeugen kann, daß keine Feder aufgezogen werden muß, daß die Tonqualität, die Tondauer, der Beginn und das Ende einer Melodie leicht gesteuert werden können, werden hierbei nicht voll ausgenutzt.
Eine erfindungsgemäße Ausführungsform zeigt Fig.2. Diese Schaltung umfaßt: eine Schaltung 22 zur Erzeugung von Impulsen mit einer Dauer entsprechend der kürzesten Tonlänge, wobei vorzugswsise diese Schaltung die Folgefrequenz von Impulsen herabteilt, die von einer Oszillatorschaltung 21 zur Erzeugung einer Tonhöhe erzeugt worden sind; eine erste und eine zweite Speicherschaltung 23 und 23', die Tonhöhen- und Tonlängendaten speichern; einen ersten und einen zweiten Adressenzähler 24 und 24', die Adresse» der Speicherschaltungen festlegen; erste und zweite programmierbare Zähler 25 und 25' als Tonhöhen-Frequenzteiler, welche die Folgefrequenz' von von der Oszillatorschaltung 21 erzeugten Impulsen herabteilen, um Melodien zu erzeugen, die durch Ändern der Teilungsverhältnisse in Abhängigkeit von den Tonhöhendaten aus der ersten und der zweiten Speicherschaltung zustande kommen; einen dritten und einen vierten programmierbaren Zähler 27 und 27' als Tondauer-Frequenzteiler, welche die Folgefrequenz der Impulse vom Impulsgenerator herabteilen, um Tonlängen festzulegen, indem die Teilungsverhältnisse entsprechend den Tonlängendaten von den Speicherschaltungen 23 und 23' geändert werden; eine erste und eine zweite Schaltung 28 und 28', die in Abhängigkeit von den Impulsen, die vom ersten und vom zweiten programmierbaren Tonhöhen-Zähler 25 und 25' herabgeteilt worden sind, eine Wellenformung vornehmen; dritte und vierte Schaltungen 29 und 29' zur Erzeugung von HQllkurven; eine fünfte Schaltung 30, welche die Signale summiert und verstärkt, die von der dritten und der vierten Schaltung 29 und 29' erzeugt worden sind, indem die Signale richtig gewichtet werden; einen elektro-akustischen Wandler 31, der das Signal der summierenden Verstärkerschaltung 30 in einen Ton umsetzt; und einen Eingangsanschluß 32, über den dem ersten und dem zweiten Adressenzähler 24 und 24' Synchronisationssi gnale zugeführt werden.
Wenn die Ausgangssignale der Adressenzähler 24 und 24' nicht geändert werden, erzeugen die programmierbaren Zähler 25 und 25' fortlaufend einen Ton, da die Teilungsverhältnisse der programmierbaren Zähler 25 und 25' nicht geändert werden. Wenn die Zählstände der Adressenzähler 24 und 24' jeweils um einen Zählwert erhöht werden, werden Töne mit der Tonhöhe der nächsten Noten erzeugt, da die Teilungsverhältnisse der unter den nächsten Adressen in den Speicherschal tungen 23 und 23' gespeicherten Daten in den programmierbaren Zählern 25 und 25' eingestellt werden. Es reicht also aus, die Sr'Helligkeiten, mit denen sieh die Zählstände der Adressei.zäbler 24 und 24' erhöhen, gemäß den Tonlängen zu ändern. Konkret ausgedrückt: wenn in einer gewünschten Melodie eine Achtelnote die kürzeste Tonlänge ist, wird der Oszillator bzw. Impulsgenerator 22 so eingerichtet, daß er einen Impuls mit der Länge einer Achtelnote erzeugt In den Speicherschaltungen 23 und 23' speichert man die
to Daten der Melodien zusammen mit derartigen Teilungsverhältnissen, daß die Anzahl der Impulse im Fall einer Viertelnote durch zwei und im Fall einer halben Note durch vier geteilt wird. Sie werden ausgelesen und eines nach dem anderen als Eingangssignale auf die Adressenzähler 24 und 24' gegeben, und dann werden die Geschwindigkeiten, mit denen die Adressenzähler ihre Zählstände erhöhen, gemäß den Tonlängen geändert Bei der erfindungsgemäßen Schaltung wird der Oszillator gemeinschaftlich verwendet, und es sind wenigstens zwei Systeme vorhanden, d:e je eine Speicherschaltung aufweisen. Eine Speicherschaltung enthält die Daten von Hauptmelodien und die andere Speicherschaltung enthält die Daten von Begleitungen. Dadurch geben die einzelnen Speicherschaltungen ihre Melodien unabhängig voneinander vor. Letztlich werden die diesen beiden Melodien entsprechenden Signale summiert und verstärkt und dann in akustische Töne umgewandelt. Da eine Hauptmelodie und eine Begleitung miteinander synchronisiert werden müssen,
so ist eine Maßnahme zu deren Synchronisation erforderlich. Dies geschieht folgendermaßen: der Datenwert für die Erzeugung keines Tons (d. h., der Datenwert für Schweigen) wird unter der Adresse »0« in die Speicherschaltung gegeben. Er setzt die Adressenzähler 24 unrf 24' zur selben Zeit zurück, und die Adresse »0« wird als Steuereinrichtung verwendet, um die Systeme auf Wartestellung ζϊ bringen, da keinerlei Ton erzeugt wird, während die Adressenzähler zurückgesetzt sind.
Obgleich die Schaltungen 29 und 29' zur Erzeugung
von Hüllkurven rieht immer erforderlich sind, sind sie nützlich zur Klang,erbesserung. Diese Klangverbesserung kann in der Nachbildung der Töne eines Musikinstrumentes bestehen. Zu diesem Zweck -verden die Töne mit Hüllkurven gleich denen der histrumenten töne erzeugt. Eine Möglichkeit besteht darin, daß Hüllkurven von einem Verstärker geliefert werden, dessen Verstärkung aufgrund äußerer Signale veränderlich ist.
Die Wellenformungsschaltungen 28 und 28' sind wichtig für eine bessere Klangqualität. Wenn Rechteckwellen verwendet werden, werden die Wellenformungsschaltungen überflüssig, und es kann ein Tongenerator einfachster Struktur aufgebaut werden. Wenn jedoch eine Welle mit weniger Obertonkomponenten, als ?ie eine Rechteckwelle aufweist, wenigstens entweder für eine Hauptmelodie oder für eine Begleitung verwendet wird, kommt es zur größeren Qualität. Speziell deswegen, weil die Rechteckwelle einen etwas härteren Ton als eine Welle mit weniger Obertonkomponenten erzeugt, ist es wirkungsvoll, die Rechteckwelle für eine Hauptmelodie und die Welle mit weniger Obertonkomponenten für eine Begleitung zu benutzen. Vorzugsweise wird als eine Welle mit weniger Obertonkomponenten eine Welle (a) in Fig. 3 verwendet, die durch den Durchgang einer Rechteckwellc durch ein Tiefpaßfilter gebildet wird, eine Dreieckswelle (b) oder eine Sinuswelle (c).
Die WciicfiiorffiurigSaCMeiiUngCri 28 und 28' kö""C"
gemäß F i g. 4 aufgebaut sein.
Die Fig. 4(a) und (b) zeigen Aufbauten von Wellenformungsschaltungen, bei denen eine Rechteckwelle für eine Hauptmelodie verwendet wird und für eine Begleitung eine Welle, die durch Hindurchschicken einer Rechteckwelle durch ein Tiefpaßfilter geformt wild. Da eine vom programmierbaren Zähler erzeugte Ausgangswelle für einen wohlklingenden Ton nicht geeignet ist, teilt in Fig.4(a) ein Flipflop 35 die Rechteckwelle vom programmierbaren Zähler auf die Hälfte und bildet eine Rechteckwelle mit einem Tastverhältnis von 1 :1 (50%ige Einschaltdauer). Die Rechteckwelle mit 50%iger Einschaltzeit wird dann für eine Hauptmelodie benutzt. Fig. 4(b) zeigt eine Wellenformungsschaltung für eine Begleitung. Diese umfaßt ein Flipflop und ein Tiefpaßfilter 36 und formt eine Welle für eine Begleitung.
Eine Sinuswelle oder eine Dreieckswelle wird folgendermaßen geformt. Wie die F i g. 4(c) und (d) zeigen, wird eine Periode einer Welle in geeignete Intervalle unterteilt. Die Daten einer Speicherschaltung 33, die den Spitzenwert digitalisiert und speichert, werden ausgelesen, und dann werden die Daten mit einem Digital/Analog-Wandler 32 umgewandelt. Die ■> Geschwindigkeit, mit welcher eine Adresse in der Speicherschaltung bestimmt wird, wird geändert durch Ändern der Geschwindigkeit, mit der ein Adressenziihler 34 vorrückt, wobei von den programmierbaren Zählern 25 und 25' erzeugte Impulse verwendet werden,
ίο und dadurch ist es möglich, die Frequenz zu ändern. Diese Schaltung vermag auch jegliche Wellenform zu bilden. Folglich ist es möglich, unter Verwendung dieser Schaltungen Klänge unterschiedlicher Instrumente für eine Hauptmelodie oder eine Begleitung zu erzeugen.
'· Obgleich die Erläuterung anhand eines Aufbaus gegeben worden ist, der ein System für eine Hauptmeludic und ein anderes System für die Begleitung aufweist, ist die vorliegende Erfindung ni:hl auf einen solchen Aufbau beschränkt. Ein Tongeneraior
hoher musikalischer Wirkung erzeugen.
Wenn entsprechend dem zuvor erwähnten Aufbau eine Begleitung hinzugefügt wird, werden die höchste Klangwirkung und musikalische Wirkung geschaffen
und wird ein elektronischer Ton mit Klangfülle erzeugt. Alle in F i g. 2 gezeigten Schaltungen mit Ausnahme des elektro-akustischen Wandlers werden in einer integrierten Schaltung, wie einer integrierten C-MOS-Schaltung gebildr·'. Wenn diese integrierte Schaltung gemäß der Erfindung und irgend eine andere Schaltung, beispielsweise mit Uhrenfunklion, auf einem Halbleiterchip kombiniert werden, kann die vorliegende Erfindung bei einer Uhr angewendet werden, die einen elektronischen Tongenerator umfaßt, oder bei anderen elektronischen Geräten, ohne daß sich die Anzahl der Herstellungsschritte erhöht. Wenn die erfindungsgemäße Schaltung mit einer Schaltung kombiniert wird, die eine andere Funktion als die einer Uhr besitzt, ist es auch möglich, die Oszillatoren 21 und 22 gemeinschaftlich zu benutzen.
Hierzu 2 Blatt Zeichnungen

Claims (9)

Patentansprüche:
1. Elektronischer Tongenerator, bei dem ein von einem Oszillator erzeugtes Zeitstandardsignal zur Erzeugung einer Folge unterschiedlicher Töne mittels eines Tonhöhen-Frequenzteilers, dessen Frequenzteilerverhältnis von einer Teilerverhältniswähleinrichtung einstellbar ist, in der Frequenz teilbar ist, und den unterschiedlichen Tönen entsprechende Teilerverhältnisse in einem Speicher gespeichert sind, dadurch gekennzeichnet, daß mit dem Oszillator (21) wenigstens ein weiterer Tonhöhen-Frequenzteiler (25') mit einstellbarem Teilerverhältnis verbunden ist, und die Tonhöhen-Frequenzteiler (25, 25') gemeinsam mit ihren Ausgängen an eine Summiereinrichtung (30) angeschlossen sind und daß jedem Tonhöhen-Frequenzteiler (25,25') eine gesonderte Teilerverhältniswähleinrichtung zugeordnet ist, je umfassend eine mit den Stelleingängen des Tonhöhenfrequenzteilers (25, 25') verbundene Speicherschaltung (23, 23'), die für die einzilnen Töne einer Tonfolge das Frequenztcilcrverhättnis for der. Tonhöhen-Frequenzteiler und ein Frequenzteilerverhältnis für einen Tondauer-Frequenzteiler speichert, einenmit der Speicherschaltung (23,23') verbundenen A'dressenzähler (24, 24') und einen dessen Eingang mit einer Taktimpulsquelle verbindenden, hinsichtlich des Frequenzteilerverhältnisses einstellbaren Tondauer-Frequenzteiler (27, 27'), dessen Stelleingänge ebenfalls mit der Speicherschaltung (23,23') verbunden sind.
2. Tongenerator nach Anspruch 1, dadurch gekennzeichnet, daß wenigstens einem der Tonhöhen-Frequenzteiler (25, 25') eine Wellenformungsschaltung (28,28') nachgeschaltet isL
3. Tongeneralor nach Anspruch 2, dadurch gekennzeichnet, daß er eine erstv. Signalwelle'für eine Hauptmelodie und eine zweite Signalwelle für eine Beglaitmelodie erzeugt, von denen die Signalwelle für die Begleitmelodie weniger Obertonkomponenten als die Signalwelle der Hauptmelodie aufweist.
4. Tongenerator nach Anspruch 3, dadurch gekennzeichnet, daß für die Hauptmelodie eine Rechteckwelle und für die Begleitmelodie eine Sinuswelle erzeugt werden.
5. Tongenerator nach Anspruch 3, dadurch gekennzeichnet, daß für die Hauptmelodie eine durch ein Tiefpaßfilter gefilterte Rechteckwelle und für die Begleitmelodie eine Sinuswelle erzeugt werden.
6. Tongenerator nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß wenigstens einem der Tonhöhen-Frequenzteiler (25, 25') eine Hüllkurvenschaltung (29,29') nachgeschaltet ist.
7. Tongenerator nach Anspruch 2 oder 6, dadurch gekennzeichnet, daß jedem Tonhöhen-Frequenzteiler (25, 25') ein Tiefpaßfilter als Wellenformungsschaltung (28,28') nachgeschaltet ist
8. Tongenerator nach einem der Ansprüche 2 bis 7, dadurch gekennzeichnet, daß die Wellenformungsschaltung (28,28') ein Flipflop enthält, das die vom (zugehörigen) Tonhöhen-Frequenzteiler (25, 25') gelieferte Rechteckwelle halbiert und eine Rechteckwelle mit einem Tastverhältnis 1 :1 abgibt.
9. Tongenerator nach Anspruch 8, dadurch gekennzeichnet, daß iri wenigstens einer Wellenformungsschaltung (28, 28') dem Flipflop (35) ein Tiefpaßfilter (36) nachgeschaltet ist.
Die Erfindung betrifft einen elektronischen Tongenerator nach dem Oberbegriff des Patentanspruchs !.
Aus der US-PS 38 42 702 ist ein elektronisches
Musikinstrument mit solch einem Tongenerator be-
kannt, bei dem die einzelnen Töne mit Hilfe eines variablen Frequenzteilers und eines einzigen Oszillators erzeugt werden. Das Frequenzteilerverhältnis des variablen Frequenzteilers wird durch eine Teilerverhält niswähleinrichtung gesteuert, die eine Tastatur, eine
ίο Steuereinrichtung, einen Kodierer und einen Speicher beinhaltet Der Kodierer formt abhängig von einer gerade gedrückten Taste ein Signal, mittels dessen das
Frequenzteilerverhältnis des variablen Frequenzteilers
eingestellt wird. Im Speicher wird dieses Signal gespeichert, wenn die Taste wieder losgelassen wird, so daß das Frequenzteilerverhältnis und damit der Ton aufrechterhalten bleiben, bis eine nächste Taste gedrückt wird. Bei dem Speicher muß es sich um einen
Schreib/Lese-Speicher handeln, der zur Zeit immer nur
ein einziges Teilerverhältnis für die gefragte Tonhöhe speichert.
Aus der DE-OS 25 23 422 ist ein im Prinzip ähnliches
. elektronisches Musikinstrument bekannt, bei dem jedoch mehrere von Tasten über einen Speicher ansteuerbare, programmierbare Teiler für verschiedene
Töne vorgesehen sind.
Aufgabe der Erfindung ist es, einen elektronischen Tongenerator zu schaffen, der mit guter musikalischer Qualität auf Abruf eine gespeicherte Melodie oder Tonfolge wiederzugeben im Stande ist
Diese Aufgabe wird erfindungsgemäß durch die Merkmale im Patentanspruch 1 gelöst
Als wesentliches Element ist eine Speicherschaltung vorgesehen, in der die Tonhöhen der einzelnen Töne einer Tonfolge oder Melodie in Form von Frequenzteilerverhältnissen für eine Tonhöhen-Frequenzteiler gespeichert sind. Zu jeder Tonhöhe ist darüber hinaus aber auch eine Tondauer gespeichert, und zwar ebenfalls in Form eines Frequenzteilerverhältnisses für einen Tondauer-Frequenzteiler. Die einzelnen Töne, deren Dauer bei einem Musikinstrument der Spieler bestimmt, folgen auch beim erfindungsgemäßen Tongenerator nicht etwa in gleichbleibenden Abständen aufeinander, sondern haben entsprechend der Melodie unterschiedliche Längen. Hierdurch und durch die Erzeugung und Mischung wenigstens zweier Tonfolgen, etwa als Hauptmelodie und Begleitmelodie, läßt sich auf relativ einfache Weise und mittels einer integrierten Schaltung ein elektronischer Tongenerator schaffen, mit so dem sich Musik einer erstaunlichen Qualität erzeugen läßt
Die Erfindung wird nachfolgend anhand von Ausführungsbeispielen näher erläutert Es zeigt
F i g. 1 einen elektronischen Tongenerator zur Erzeugung lediglich einer Hauptmelodie,
Fig.2 eine Ausführungsform eines Tongenerators gemäß der Erfindung,
Fig.3 Wellenformen, die weniger Oberwellen als eine Rechteckwelle aufweisen,
Fig.4(a) bis 4(c) Ausführungsformen der in Fig. 2 genannten Schaltungen 28 und 28' und F i g. 4(d) eine Wellenform.
In F i g. 1 ist ein elektronischer Tongeiierätöf gezeigt, bei dem ein programmierbarer (voreinstellbarer) Zähler 4 als Frequenzteiler mit veränderbarem Teilungsverhältnis eingesetzt ist. in einer Speicherschaltung I sind Daten bezüglich Tonhöhe und Tonlänge gespeichert Diese Daten werden der Reihe nach ausgelesen, wobei
DE2830482A 1977-07-15 1978-07-11 Elektronischer Tongenerator Expired DE2830482C2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8541577A JPS5420712A (en) 1977-07-15 1977-07-15 Electronic sounding apparatus

Publications (2)

Publication Number Publication Date
DE2830482A1 DE2830482A1 (de) 1979-01-25
DE2830482C2 true DE2830482C2 (de) 1982-10-07

Family

ID=13858163

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2830482A Expired DE2830482C2 (de) 1977-07-15 1978-07-11 Elektronischer Tongenerator

Country Status (9)

Country Link
US (1) US4328731A (de)
JP (1) JPS5420712A (de)
CH (1) CH629934B (de)
DE (1) DE2830482C2 (de)
FR (1) FR2397698A1 (de)
GB (1) GB2004402B (de)
HK (1) HK52384A (de)
MY (1) MY8500387A (de)
SG (1) SG784G (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH635479B (fr) * 1977-07-22 Suwa Seikosha Kk Montre-bracelet electronique a dispositif d'alarme.
GB2026223B (en) * 1978-07-11 1982-10-27 Suwa Seikosha Kk Electronic tone generator
DE2926548C2 (de) * 1979-06-30 1982-02-18 Rainer Josef 8047 Karlsfeld Gallitzendörfer Wellenformgenerator zur Klangformung in einem elektronischen Musikinstrument
JPH0132080Y2 (de) * 1979-10-05 1989-10-02
GB2078428B (en) * 1980-06-20 1985-02-27 Casio Computer Co Ltd Electronic musical instrument
JPS5793276A (en) * 1980-12-02 1982-06-10 Toshiba Corp Electronic clock
JPS57136695A (en) * 1981-02-17 1982-08-23 Roland Kk Sychronous signal generator and electronic musical instrument using it
JPS58118981A (ja) * 1982-01-09 1983-07-15 Rhythm Watch Co Ltd 時計の報時装置
JPS58169194A (ja) * 1982-03-31 1983-10-05 日本ビクター株式会社 電子楽器
JPS59120883A (ja) * 1982-12-27 1984-07-12 Rhythm Watch Co Ltd 電子音発生回路
US4510836A (en) * 1983-12-01 1985-04-16 Allen Organ Company Touch sensitivity in an electronic musical instrument having non-positive attack
JPS60233741A (ja) * 1984-05-07 1985-11-20 Sony Tektronix Corp デジタル・パタ−ン発生器
JPS6215026A (ja) * 1985-07-09 1987-01-23 ナウチノ−プロイズボドストウエンノエ、オビエデイネニエ、ポ、チエフノロギ−、トラクトルノボ、イ、セルスコホジアイストベンノボ、マシノストロエニア、“ニイトラクトロセルホズマシ” 切削硬化装置
US5179239A (en) * 1988-03-03 1993-01-12 Seiko Epson Corporation Sound generating device for outputting sound signals having a sound waveform and an envelope waveform
US5208852A (en) * 1988-09-27 1993-05-04 Seiko Epson Corporation Sound generation circuit
US4936184A (en) * 1989-04-26 1990-06-26 Michael Yang Music generator
JPH0550498U (ja) * 1991-12-12 1993-07-02 株式会社精工舎 音響発生装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3515792A (en) * 1967-08-16 1970-06-02 North American Rockwell Digital organ
JPS584316B2 (ja) * 1972-03-21 1983-01-25 セイコーインスツルメンツ株式会社 デンシメトロノ−ム
JPS5723279B2 (de) * 1972-06-03 1982-05-18
US3878749A (en) * 1972-12-12 1975-04-22 Allen Organ Co Walsh function tone generator and system
US3885489A (en) * 1973-03-14 1975-05-27 Kenju Sangyo Kabushiki Kaisha Electronic musical instrument having keyboards
CA1041325A (en) * 1974-06-03 1978-10-31 Wurlitzer Company (The) Electronic musical instrument using integrated circuit components
US4055103A (en) * 1974-06-03 1977-10-25 The Wurlitzer Company Electronic musical instrument using integrated circuit components
US3977290A (en) * 1975-03-05 1976-08-31 Kabushiki Kaisha Kawai Gakki Seisakusho Electronic musical instrument
US4082027A (en) * 1975-04-23 1978-04-04 Nippon Gakki Seizo Kabushiki Kaisha Electronics musical instrument
US4074605A (en) * 1975-05-16 1978-02-21 Matsushita Electric Industrial Co., Ltd. Keyboard operated electronic musical instrument
JPS5932799B2 (ja) * 1975-10-06 1984-08-10 ヤマハ株式会社 電子楽器
JPS52107823A (en) * 1976-03-05 1977-09-09 Nippon Gakki Seizo Kk Electronic musical instrument
JPS52123223A (en) * 1976-04-08 1977-10-17 Toshiba Corp Electronic circuit for music box
US4085645A (en) * 1976-10-29 1978-04-25 Motorola, Inc. Instantly retunable tone generator for an electronic musical instrument
US4137810A (en) * 1977-01-12 1979-02-06 The Wurlitzer Company Digitally encoded top octave frequency generator

Also Published As

Publication number Publication date
JPS5420712A (en) 1979-02-16
FR2397698A1 (fr) 1979-02-09
MY8500387A (en) 1985-12-31
US4328731A (en) 1982-05-11
SG784G (en) 1985-02-15
FR2397698B1 (de) 1984-05-25
CH629934B (fr)
GB2004402A (en) 1979-03-28
DE2830482A1 (de) 1979-01-25
HK52384A (en) 1984-07-13
GB2004402B (en) 1982-05-12
CH629934GA3 (de) 1982-05-28

Similar Documents

Publication Publication Date Title
DE2830482C2 (de) Elektronischer Tongenerator
DE2259258C3 (de) Elektronische Uhr mit Signalgeber
DE2000755C3 (de)
DE2152861A1 (de) Elektronisches Tasten-Musikinstrument
DE2264127C2 (de) Frequenzteiler
DE2927836C2 (de) Elektronischer Musikgenerator
DE2937256C2 (de) Elektronisches Musikinstrument
DE2830483C2 (de) Elektronisches Tastatur-Musikinstrument
DE2727349A1 (de) Einrichtung zum erzeugen eines klangsignales fuer ein elektronisches musikinstrument
DE2539166A1 (de) Elektronisches musikinstrument
DE2309321C3 (de) Elektronisches Musikinstrument
DE3147534C2 (de) Elektrischer Musiktongenerator
DE2608111A1 (de) Schaltung zur erzeugung eines choreffekts
DE2435346A1 (de) Schaltung fuer elektronische musikinstrumente
DE2523076A1 (de) Abstimmeinrichtung
DE2657039C2 (de) Schaltungsanordnung zum Nachahmen der Klangcharakteristik von Zungen-Orgelpfeifen
DE2901969A1 (de) Elektronisches musikinstrument mit einer einrichtung zur erzeugung variabler impulse
DE2430321C3 (de) Einrichtung zur Erzeugung von Tonsignalen
DE3150074A1 (de) Schaltungsanordnung zum erzeugen einer musikalischen huellkurve und verwendung ener derartigen schaltungsanordnung in einer elektronischen uhr
DE2148074C3 (de) Vorrichtung zum Erzeugen von sich unkorrelliert mit der Zeit in ihrer Frequenz ändernden Tonsignalen eines elektronischen Musikinstruments
DE2515524C3 (de) Einrichtung zum elektronischen Erzeugen von Klangsignalen
DE2261553C2 (de) Generatorschaltung für ein elektronisches Musikinstrument
DE2358296A1 (de) Schaltungsanordnung zum messen der verzerrung von datensignalen
DE2362609C3 (de) Schaltung zum Transponieren der Tonart für ein durch Tastaturschalter betätigtes, elektronisches Musikinstrument
DE3333805C2 (de)

Legal Events

Date Code Title Description
OD Request for examination
D2 Grant after examination
8381 Inventor (new situation)

Free format text: GOTO, MITSUHIRO IKEDA, MASAYUKI KOMATSU, HIDETOSHI NAKA, TAKAHIRO, SUWA, NAGANO, JP

8363 Opposition against the patent
8327 Change in the person/name/address of the patent owner

Owner name: KABUSHIKI KAISHA SUWA SEIKOSHA, SHINJUKU, TOKIO-TO

8365 Fully valid after opposition proceedings
8328 Change in the person/name/address of the agent

Free format text: HOFFMANN, E., DIPL.-ING., PAT.-ANW., 82166 GRAEFELFING

8327 Change in the person/name/address of the patent owner

Owner name: SEIKO EPSON CORP., TOKIO/TOKYO, JP