DE112017001846T5 - Halbleitermetrologie mit Information von mehreren Prozessschritten - Google Patents

Halbleitermetrologie mit Information von mehreren Prozessschritten Download PDF

Info

Publication number
DE112017001846T5
DE112017001846T5 DE112017001846.3T DE112017001846T DE112017001846T5 DE 112017001846 T5 DE112017001846 T5 DE 112017001846T5 DE 112017001846 T DE112017001846 T DE 112017001846T DE 112017001846 T5 DE112017001846 T5 DE 112017001846T5
Authority
DE
Germany
Prior art keywords
metrology
wafer
amount
parameter
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112017001846.3T
Other languages
English (en)
Inventor
Alexander Kuznetsov
Antonio Arion Gellineau
Andrei Shchegrov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of DE112017001846T5 publication Critical patent/DE112017001846T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)

Abstract

Hierin werden Verfahren und Systeme gezeigt zur Messung von prozessinduzierten Fehlern in einem mehrfach-strukturierenden Halbleiterherstellungsprozess auf Grundlage von Messungen eines Exemplars und von Prozessinformation von einem oder mehreren vorhergehenden Prozessschritten, die zur Herstellung des Exemplars eingesetzt wurden. Eine Metrologiemaschine wird eingesetzt, nachdem eine Anzahl an Prozessschritten ausgeführt wurde. Die Metrologiemaschine misst interessierende Strukturparameter von Metrologiezielen auf dem Wafer auf Grundlage gemessener Signale und von Prozessinformation, und kommuniziert korrigierbare Prozessparameterwerte zu einer oder mehreren Prozessmaschinen, die in die vorhergehenden Prozessschritte involviert sind. Bei Ausführung durch die geeigneten Prozessmaschinen reduzieren die korrigierbaren Prozessparameterwerte prozessinduzierte Fehler in der Geometrie der durch den Prozessverlauf hergestellten Strukturen. In einem anderen Aspekt werden mehrere Metrologiemaschinen verwendet, um einen Herstellungsprozess in Kombination mit Prozessinformation von einem oder mehreren Prozessschritten in dem Prozessverlauf zu steuern.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNG
  • Die vorliegende Patentanmeldung beansprucht die Priorität gemäß 35 U.S.C. §119 der provisorischen US-Patentanmeldung 62/318,166 mit dem Titel „Process Information Assisted Metrology“, eingereicht am 04. April 2016, deren Gegenstand hierin durch Verweis zur Gänze aufgenommen wird.
  • TECHNISCHES GEBIET
  • Die beschriebenen Ausführungsformen betreffen Metrologiesysteme und -verfahren und spezieller Verfahren und Systeme zur verbesserten Messung von Parametern, die die Abmessungen von Strukturen kennzeichnen, die durch mehrfache Strukturierungsprozesse erzeugt worden sind.
  • HINTERGRUNDINFORMATION
  • Halbleiterbauelemente, etwa Logik- und Speicherbauelemente, werden für gewöhnlich durch eine Folge von Bearbeitungsschritten hergestellt, die auf ein Exemplar angewendet werden. Die verschiedenen Strukturen und mehreren Strukturebenen der Halbleiterbauelemente werden durch diese Bearbeitungsschritte ausgebildet. Lithographie ist beispielsweise ein Halbleiterherstellungsprozess, der die Erzeugung einer Struktur auf einem Halbleiterwafer involviert. Zusätzliche Beispiele von Halbleiterherstellungsprozessen beinhalten, ohne aber darauf beschränkt zu sein, chemisch-mechanisches Polieren, Ätzen, Abscheidung und lonenimplantierung. Mehrere Halbleiterbauelemente können auf einem einzigen Halbleiterwafer hergestellt und dann in einzelne Halbleiterbauelemente getrennt werden.
  • Mehrfache Strukturierungstechniken werden jetzt gemeinhin eingesetzt, um für ein gegebenes lithographisches System die Auflösung von Strukturen zu erhöhen, die auf dem Halbleiterwafer gebildet werden. 1A-1D zeigen eine Doppelstrukturierungslithographietechnik (DPL, double patterning lithography), die gemeinhin als ein Litho-Ätz-Litho-Ätz-Prozess (LELE, litho-etch-litho-etch) bezeichnet wird. 1A zeigt eine Silizium-Grundschicht 10, eine Grenzschicht 11, etwa Siliziumdioxid, eine Bauelementschicht 12, eine harte Maskenschicht 13, eine Opferschicht 14 und eine strukturierte Photolackschicht 15, die sich aus einem lithographischen Strukturierungsschritt ergibt. Die in 1A gezeigte Struktur wird dann Belichtungs- und Ätzschritten unterzogen, die zu der in 1B gezeigten Struktur führen. In dieser Struktur wurde die Struktur der Photolackschicht 15 praktisch auf die harte Maskenschicht 13 übertragen. Sowohl die Opferschicht 14 als auch die strukturierte Photolackschicht 15 wurden entfernt. Eine Anzahl von Abscheidungs- und Lithographieschritten wird angewendet, um zu der in 1C gezeigten Struktur zu gelangen. 1C zeigt eine weitere Opferschicht 16 und strukturierte Photolackschicht 17, die auf der harten Maskenschicht 13 aufgebaut sind. Die strukturierte Photolackschicht 17 beinhaltet eine Struktur mit demselben Pitch wie die erste strukturierte Photolackschicht 15 und auch demselben Pitch wie die in die harte Maskenschicht 13 geätzte Struktur. Jedoch ist die strukturierte Photolackschicht 17 gegen die Struktur der harten Maskenschicht 13 um die Hälfte des Pitch der strukturierten Photolackschicht 17 versetzt. Die in 1C gezeigte Struktur wird dann Belichtungs- und Ätzschritten unterzogen, die zu der in 1D gezeigten Struktur führen. In dieser Struktur ist die Struktur der Photolackschicht 17 praktisch auf die harte Maskenschicht 13 übertragen worden. Sowohl die Opferschicht 16 als auch die strukturierte Photolackschicht 17 sind entfernt worden. 1D zeigt eine in die harte Maske 13 geätzte Struktur mit dem doppelten Pitch der strukturierten Photolackschichten 15 und 17, die durch die Maske des Lithographiesystems erzeugt wurden.
  • 1D zeigt auch die Auswirkungen eines nicht-optimierten DPL-Prozesses. Idealerweise sollte der nominelle Pitch der doppelt strukturierten Struktur ein konstanter Wert P sein. Jedoch kann der Pitch der sich ergebenden Struktur aufgrund von Mängeln im DPL-Prozess in Abhängigkeit von der Position variieren, aufgrund von Ungleichförmigkeiten des Gitters. Dies wird gemeinhin als „Pitch-Gang“ (pitch walk) bezeichnet. Eine Abweichung vom nominellen Pitch, P, wird in 1D als ΔP gezeigt. In einem anderen Beispiel sollte eine kritische Dimension einer jeden sich ergebenden Struktur denselben nominellen Wert, CD, haben. Jedoch kann eine kritische Dimension (z.B. mittlere kritische Dimension, untere kritische Dimension, etc.) der sich ergebenden Struktur aufgrund von Mängeln im DPL-Prozess in Abhängigkeit von der Position variieren. Eine Abweichung von der gewünschten kritischen Dimension, CD, ist in 1D als ΔCD gezeigt.
  • Pitch-Gang und ΔCD sind Beispiele geometrischer Fehler, die durch Mängel im DPL-Prozess, etwa Fehlausrichtung zwischen den beiden Lithographieschichten, Ungleichförmigkeiten im Fokus und in der Belichtung im lithographischen Prozess, Fehlern in der Maskenstruktur, etc. verursacht werden. Sowohl der Pitch-Gang als auch ΔCD führen zu einer Einheitszelle, die größer ist als erwartet. Obwohl speziell der Pitch-Gang und ΔCD beschrieben werden, können auch andere Mehrfachstrukturierungsfehler in Betracht gezogen werden.
  • Obwohl der LELE-Prozess mit Bezug auf die 1A-1 D beschrieben wird, können viele weitere Mehrfachstrukturierungsprozesse, die zu ähnlichen Fehlern führen, in Betracht gezogen werden (z.B. Litho-Litho-Ätz, mehrfache Litho-Ätz-Strukturierung, Mehrfachstrukturierung definiert durch ein Abstandselement, etc.). In ähnlicher Weise treten, obwohl ein Doppelstrukturierungsprozess mit Bezug auf die 1A-1D beschrieben wird, ähnliche Fehler in Strukturierungsprozessen höherer Ordnung, etwa bei Vierfachstrukturierung, auf. Für gewöhnlich sind Fehler wie Pitch-Gang und ΔCD in solchen Strukturen ausgeprägter, die sich aus Strukturierungsprozessen höherer Ordnung ergeben.
  • Metrologieprozesse werden bei verschiedenen Schritten während eines Halbleiterherstellungsprozesses verwendet, um Defekte auf Wafern zu detektieren, um einen höheren Ausstoß zu fördern. Optische Metrologietechniken bieten das Potenzial für Messungen mit hohem Durchsatz, ohne das Risiko der Zerstörung der Probe. Eine Anzahl von auf optischer Metrologie basierenden Techniken, darunter Scatterometrie- und Reflektometrie-Anwendungen sowie zugehörige Analysealgorithmen, werden gemeinhin verwendet, um kritische Dimensionen, Schichtdicken, Zusammensetzung und andere Parameter von Strukturen auf Nanoskala zu charakterisieren.
  • Eine verbreitete Herangehensweise zur Steuerung eines Halbleiterherstellungsprozesses ist der Einsatz einer Metrologiemaschine nach jedem kritischen Prozessschritt im Herstellungsprozess. Im Allgemeinen wird die Prozesssteuerung verbessert, wenn kritische Schritte dichter überwacht werden. Jedoch ist die Einführung eines Metrologieschritts nach jedem Prozessschritt teuer, sowohl hinsichtlich Herstellungszeit als auch hinsichtlich Kosten. Da die Anzahl kritischer Prozessschritte für fortgeschrittene Technologiestadien zugenommen hat, ist die Einfügung eines Metrologieschritts nach jedem kritischen Prozessschritt unerschwinglich geworden. Ferner nimmt mit jedem Metrologiestadium die Anzahl kritischer Prozessschritte, die kosteneffizient gemessen werden können, im Vergleich zu der Gesamtzahl kritischer Prozessschritte ab.
  • Werden Metrologieschritte aus dem Prozessverlauf für fortgeschrittene Technologiestadien, etwa einem LELE-Mehrfachstrukturierungsprozess, eliminiert, so werden Metrologieergebnisse zum Zwecke der Prozesssteuerung für alle dazwischenliegenden Prozessschritte unwirksam. Beispielsweise kann in einem LELE-Mehrfachstrukturierungsprozess ein Metrologieschritt lediglich nach dem letzten Prozessschritt durchgeführt werden. Die Ergebnisse dieser Messung können wirksam verwendet werden, um den letzten Prozessschritt, nicht aber die früheren Prozessschritte, zu korrigieren. Diese Einschränkung besteht sogar, wenn mehrere Metrologieschritte eingesetzt werden. Der letzte Prozessschritt vor der Metrologie kann wirksam korrigiert werden, aber die gegenwärtige Metrologie liefert nicht genügend Messinformation, um alle anderen Prozessschritte zu korrigieren.
  • Metrologieanwendungen, die die Messung von Strukturen involvieren, die durch mehrerer Prozessschritte, und insbesondere Mehrfachstrukturierungsprozesse, erzeugt wurden, stellen Herausforderungen dar aufgrund der praktischen Begrenzungen der Anzahl der Einfügepunkte für Metrologie. Steigende Anforderungen an die Auflösung, die Korrelation zwischen mehreren Parametern, zunehmend komplexe geometrische Strukturen und die zunehmende Verwendung opaker Materialien verkomplizieren dieses Problem und hinterlassen unerwünschte Lücken in der Steuerung fortgeschrittener Herstellungsprozesse. Somit sind Verfahren und Systeme zur verbesserten Prozesssteuerung fortgeschrittener Herstellungsprozesse erwünscht.
  • ÜBERSICHT
  • Hierin werden Verfahren und Systeme vorgestellt zur Messung prozessinduzierter Fehler in einem Mehrfachstrukturierungshalbleiterherstellungsprozess auf Grundlage von Messungen eines Examplars und von Prozessinformation von einem oder mehreren vorhergehenden Prozessschritten, die zur Herstellung des Exemplars eingesetzt werden. Auf Grundlage gemessener Fehler werden korrigierte Prozessparameterwerte an die geeignete Prozessmaschine übermittelt, um die Prozessperformanz zu verbessern. Auf diese Weise stellt eine Metrologiemaschine Korrekturen für eine oder mehrere Prozessmaschinen bereit, die zur Durchführung eines beliebigen vorhergehenden Prozessschrittes eingesetzt werden.
  • Das Metrologiesystem erhält Prozessinformation von einer beliebigen Prozessmaschine, die zur Durchführung eines beliebigen vorhergehenden Prozessschrittes eingesetzt wird. Prozessmaschinen beinhalten Lithographiemaschinen, Ätzmaschinen, Abscheidungsmaschinen, Maschinen zur chemisch-mechanischen Planarisierung (CMP), etc. Von der Metrologiemaschine empfangene Prozessinformation beinhaltet, ohne aber darauf beschränkt zu sein, Prozesssteuerungsparameter, Einstellungsparameter für Prozessmaschinen, Prozessumgebungsparameter, Prozessdaten, die von Sensoren in einer Prozessmaschine erfasst wurden, Metrologiedaten, die von Sensoren in einer Prozessmaschine erfasst wurden, etc.
  • In einem Aspekt wird eine Metrologiemaschine in einem Metrologieschritt eingesetzt, nachdem eine Anzahl Prozessschritte ausgeführt wurde. Die Metrologiemaschine misst interessierende Strukturparameter von Metrologiezielen auf dem Wafer in physikalischem Zustand und kommuniziert korrigierbare Prozessparameterwerte an eine oder mehrere Prozessmaschinen, die in einen oder mehrere der vorhergehenden Prozessschritte involviert sind. Bei Ausführung durch die geeignete Prozessmaschine reduzieren die korrigierbaren Prozessparameterwerte prozessinduzierte Fehler in der Geometrie der durch den Prozessverlauf hergestellten Strukturen.
  • In einem anderen Aspekt werden mehrere Metrologiemaschinen verwendet, um einen Herstellungsprozess in Kombination mit Prozessinformation von einem oder mehreren Prozessschritten im Prozessverlauf zu steuern. Zusätzlich zu der Prozessinformation wird auch Metrologieinformation von einem zusätzlichen in den Prozessverlauf eingefügten Metrologieschritt verwendet, um die Metrologie der Struktur zu verbessern und um die Prozesssteuerung zu verbessern.
  • In einigen Ausführungsformen verwendet eine Metrologiemaschine ein physikalisch basiertes Messmodell, um die Werte interessierender Strukturparameter aus den Messdaten (z.B. gemessenen Spektren) und der Prozessinformation zu schätzen.
  • In einigen anderen Ausführungsformen verwendet eine Metrologiemaschine ein Eingabe-Ausgabe-Messmodell, um die Werte interessierender Strukturparameter aus den Messdaten (z.B. gemessenen Spektren) und der Prozessinformation zu schätzen. Diese Modelle beinhalten Signal-Antwort-Metrologie-Modelle, Neuronale-Netzwerk-Modelle, Support-Vector-Machine-Modelle, etc.
  • In einem weiteren Aspekt wird ein Signal-Antwort-Metrologie-Messmodell (SRM, signal response metrology) eingelernt mit Messsignalen und zugehöriger Prozessinformation von mehreren Zielen, die in einen Satz mit mehreren Zielen integriert sind, und arbeitet mit Messsignalen von denselben mehreren Zielen. Dieser Ansatz dekorreliert kritische Parameter voneinander und von anderen Prozessschwankungen.
  • In einigen Ausführungsformen sind Hilfsziele neben dem primären Messziel angeordnet und den gleichen Prozessschwankungen ausgesetzt. In diesen Ausführungsformen beinhaltet der Trainingssatz an Metrologiezielen ein primäres, nominell dimensioniertes Ziel und ein oder mehrere Hilfsziele, welche andere nominelle Werte der interessierenden Parameter haben.
  • Obiges ist eine Übersicht und enthält daher notwendigerweise Vereinfachungen, Verallgemeinerungen und Auslassungen von Einzelheiten; daher ist dem Fachmann klar, dass die Übersicht lediglich der Darstellung dient und in keiner Weise beschränkend ist. Andere Aspekte, erfinderische Merkmale und Vorteile der hierin beschriebenen Vorrichtungen und/oder Prozesse werden in der hierin dargelegten nichteinschränkenden detaillierten Beschreibung erkennbar.
  • Figurenliste
    • 1A-1D zeigen ausgewählte Schritte einer Doppelstrukturierungslithographietechnik (DPL), welche gemeinhin als ein Litho-Ätz-Litho-Ätz-Prozess (LELE) bezeichnet wird.
    • 2 zeigt einen Herstellungsprozessverlauf 100, der eine Folge von Herstellungsprozessschritten und einen einzelnen Metrologieschritt einschließt.
    • 3 zeigt ein Beispiel von Grabenbildung, die durch ein spezifisches Beispiel des in 2 gezeigten Prozessverlaufs 100 erzeugt wird.
    • 4 zeigt einen Herstellungsprozessverlauf 200, der eine Folge von Herstellungsprozessschritten und zwei Metrologieschritte einschließt.
    • 5 zeigt einen Prozessverlauf 300, der ein Beispiel eines selbstausgerichteten Oktuplett-Strukturierungsprozesses ist.
    • 6 zeigt ein Beispiel der Bildung von Rippenabstandselementen, die durch ein spezifisches Beispiel des in 5 gezeigten Prozesses 300 erzeugt werden.
    • 7 zeigt einen Halbleiterwafer 130 mit einer Anzahl an Metrologiezielen, die an verschiedenen Messstellen auf der Oberfläche des Wafers befindlich sind.
    • 8 zeigt ein System 500 zur Messung von Eigenschaften eines Exemplars gemäß den hierin gezeigten beispielhaften Verfahren.
    • 9 ist ein Flussdiagramm, das ein Verfahren 500 zur Bestimmung eines oder mehrerer Parameterwerte zeigt, die geometrische Fehler, welche durch einen Mehrfachstrukturierungsprozess induziert wurden, auf Grundlage von Messungen und Prozessinformation charakterisieren.
  • DETAILLIERTE BESCHREIBUNG
  • Es wird nun im Detail auf Hintergrundbeispiele und einige Ausführungsformen der Erfindung Bezug genommen, wovon Beispiele in den beigefügten Zeichnungen gezeigt sind.
  • Hierin werden Verfahren und Systeme zur Messung prozessinduzierter Fehler nach mehreren Schritten in einem Mehrfachstrukturierungshalbleiterherstellungsprozess gezeigt, die zum Teil auf Prozessinformation von einem oder mehreren der mehreren Schritte beruhen. Auf Grundlage der gemessenen Fehler werden korrigierte Prozessparameterwerte an die geeignete Prozessmaschine kommuniziert, um die Prozessperformanz zu verbessern. Auf diese Weise stellt die Metrologiemaschine Korrekturen nicht nur für die Prozessmaschine bereit, die zur Durchführung des letzten Prozessschrittes vor der Messung durch die Metrologiemaschine eingesetzt wird, sondern für eine oder mehrere Prozessmaschinen, die zur Durchführung irgendeines der vorangehenden Prozessschritte eingesetzt wird.
  • Das Metrologiesystem empfängt Prozessinformation von jeglicher Prozessmaschine, die zur Durchführung irgendeines der vorangehenden Prozessschritte eingesetzt wird. Prozessmaschinen beinhalten Lithographiemaschinen, Ätzmaschinen, Abscheidungsmaschinen, Maschinen zur chemisch-mechanischen Planarisierung (CMP), etc. Durch die Metrologiemaschine empfangene Prozessinformation beinhaltet, ohne aber darauf beschränkt zu sein, Prozesssteuerungsparameter, Einstellungsparameter für Prozessmaschinen, Umgebungsparameter für Prozesse, Prozessdaten, die von Sensoren in der Prozessmaschine erfasst wurden, Metrologiedaten, die von Sensoren in der Prozessmaschine erfasst wurden, etc. In einigen Beispielen beinhaltet eine Prozessmaschine integrierte Metrologiesensoren, um Prozessinformation zu messen, die an die Metrologiemaschine kommuniziert wird. Beispielsweise kann eine Lithographiemaschine ein optisches Reflektometer beinhalten, um die Wafergeometrie zu messen. In einem anderen Beispiel kann eine Ätzmaschine einen Sensor zur optischen Emissionsspektroskopie beinhalten, um eine Plasmaquelle der Ätzmaschine zu überwachen und zu steuern. Diese Sensoren allein sind nicht ausreichend, um Information zu liefern, die volle Metrologie einer Bauelementstruktur ermöglicht. Jedoch haben die Erfinder entdeckt, dass diese Signale in Kombination mit durch eine Metrologiemaschine erzeugten Metrologiesignalen die Metrologie und Prozesssteuerung von Herstellungsprozessen mit mehreren Schritten ermöglichen, die ansonsten ungesteuert wären.
  • 2 zeigt einen Herstellungsprozessverlauf 100, der eine Folge von Herstellungsprozessschritten beinhaltet, darunter ein Lithographieschritt 101, ein Ätzschritt 102, ein weiterer Lithographieschritt 103, ein weiterer Ätzschritt 104 und letztlich ein Metrologieschritt 105. Ein eintreffender Wafer in einem bestimmten physikalischen Zustand 114 innerhalb des Herstellungsprozesses wird durch den Lithographieschritt 101 in einen anderen physikalischen Zustand 115 als ein Ergebnis des Lithographieprozesses überführt. In ähnlicher Weise überführt der Ätzschritt 102 den Wafer vom Zustand 115 in den Zustand 116, überführt der Lithographieschritt 103 den Wafer vom Zustand 116 in den Zustand 117, überführt der Ätzschritt 104 den Wafer vom Zustand 117 in den Zustand 118.
  • In einem Aspekt wird eine Metrologiemaschine im Metrologieschritt 105 eingesetzt, um interessierende Strukturparameter von Metrologiezielen auf dem Wafer im physikalischen Zustand 118 zu messen, und um korrigierbare Prozessparameterwerte zu einer oder mehreren Prozessmaschinen zu kommunizieren, die in einen oder mehreren der Prozessschritte 101-104 involviert sind. Bei Ausführung durch die geeignete Prozessmaschine reduzieren die korrigierbaren Prozessparameterwerte prozessinduzierte Fehler in der Geometrie der durch den Prozessverlauf 100 hergestellten Strukturen.
  • Wie in 2 dargestellt, wird Prozessinformation 106 von einer Lithographiemaschine, die zur Durchführung des Lithographieschrittes 101 eingesetzt wird, an die Metrologiemaschine kommuniziert, die zur Durchführung des Metrologieschrittes 105 eingesetzt wird. In ähnlicher Weise wird Prozessinformation 107 von einer Ätzmaschine, die zur Durchführung des Ätzschrittes 102 eingesetzt wird, an die Metrologiemaschine kommuniziert, Prozessinformation 108 wird von einer Lithographiemaschine, die zur Durchführung des Lithographieschrittes 103 eingesetzt wird, an die Metrologiemaschine kommuniziert, und Prozessinformation 109 wird von einer Ätzmaschine, die zur Durchführung des Ätzschrittes 104 eingesetzt wird, an die Metrologiemaschine kommuniziert.
  • Obwohl, wie in 2 dargestellt, Prozessinformation von jedem Prozessschritt des Prozessverlaufs 100 an die Metrologiemaschine kommuniziert wird, kann im Allgemeinen Prozessinformation von einem oder mehreren beliebigen der Prozessschritte an die Metrologiemaschine kommuniziert werden.
  • Wie in 2 gezeigt, erzeugt die Metrologiemaschine korrigierbare Prozessparameterwerte auf Grundlage der Werte eines oder mehrerer Strukturparameter des Wafers im Zustand 118, die durch die Metrologiemaschine im Metrologieschritt 105 gemessen wurden, und der empfangenen Prozessinformation (z.B. beliebige der Prozessinformation 106-109). Beispielsweise werden, wie in 2 gezeigt, korrigierbare Prozessparameterwerte 110 an die Lithographiemaschine kommuniziert, die zur Durchführung des Lithogaphieschritts 101 eingesetzt wird. In ähnlicher Weise werden korrigierbare Prozessparameterwerte 111 an eine Ätzmaschine kommuniziert, die zur Durchführung des Ätzschritts 102 eingesetzt wird, werden korrigierbare Prozessparameterwerte 112 an die Lithographiemaschine kommuniziert, die zur Durchführung des Lithographieschritts 103 eingesetzt wird, und werden korrigierbare Prozessparameterwerte 113 an eine Ätzmaschine kommuniziert, die zur Durchführung des Ätzschritts 104 eingesetzt wird.
  • Obwohl, wie in 2 dargestellt, korrigierbare Prozessparameterwerte an eine Maschine kommuniziert werden, die jeden Prozessschritt des Prozessverlaufs 100 ausführt, können korrigierbare Prozessparameterwerte im Allgemeinen an eine Maschine kommuniziert werden, die einen oder mehrere beliebige der Prozessschritte ausführt.
  • 3 zeigt ein Beispiel der Grabenbildung, die durch ein spezifisches Beispiel des in 2 gezeigten Prozessverlaufs 100 erzeugt wird. 3 zeigt ein Metrologieziel in jedem Stadium der Grabenbildung innerhalb des Lithographie-Ätz-Lithographie-Ätz-Prozessverlaufs (LELE) 100. Im physikalischen Zustand 115 (nach Lithographieschritt 101) beinhaltet die interessierende Struktur eine Grundschicht 121, eine Bauelementschicht 122 und eine strukturierte Photolackschicht 123. Im physikalischen Zustand 116 (nach Ätzschritt 102) ist die strukturierte Photolackschicht 123 vollständig entfernt, zusammen mit einem Teil der Bauelementschicht 122, der während des Lithographieschritts 101 Licht ausgesetzt war. An diesem Punkt ist die erste Grabenstruktur der Bauelementschicht gebildet. Im physikalischen Zustand 117 werden eine Opferschicht 124 und eine weitere strukturierte Photolackschicht 125 während des Lithographieschritts 103 hinzugefügt. Im physikalischen Zustand 118 werden die strukturierte Photolackschicht 125, die Opferschicht 124 und ein weiterer Teil der Bauelementschicht 122 durch Ätzschritt 104 entfernt. An diesem Punkt ist die zweite Grabenstruktur der Bauelementschicht ausgebildet, und die sich ergebende Struktur wird mit einer Metrologiemaschine im Metrologieschritt 105 gemessen.
  • In diesem Beispiel ist die Metrologiemaschine in der Lage, eine kritische Dimension zu messen, die mit jeder Grabenstruktur assoziiert ist, CD1 und CD2. Jedoch ist das Metrologiesystem ohne zusätzliche Prozessinformation nicht in der Lage, zu bestimmen, welches Grabenmerkmal durch welchen Lithographieschritt erzeugt wurde. In diesem Beispiel wird Dosisinformation 106 von der Lithographiemaschine, die zur Durchführung des Lithographieschritts 101 eingesetzt wird, an die Metrologiemaschine kommuniziert, die den Metrologieschritt 105 ausführt. Zusätzlich wird Dosisinformation 108 von der Lithographiemaschine, die zur Durchführung des Lithographieschritts 103 eingesetzt wird, an die Metrologiemaschine kommuniziert. Auf Grundlage der empfangenen Dosisinformation ordnet die Metrologiemaschine jeden Graben dem entsprechenden Lithographieschritt zu, der den jeweiligen Graben erzeugt hat. In diesem Beispiel verursacht eine größere Dosis im Lithographieschritt 101 (d.h. Dosis1 > Dosis2) eine größere kritische Dimension (d.h. CD1 > CD2). Auf diese Weise wird der erste Graben, mit der Dimension CD1, dem Lithographieschritt 101 zugeordnet, und der zweite Graben, mit der Dimension CD2, dem Lithographieschritt 103.
  • In einem weiteren Aspekt erzeugt die Metrologiemaschine einen korrigierbaren Prozessparameterwert 110 und kommuniziert diesen an die Lithographiemaschine, die den Lithographieschritt 101 ausführt, um die Dimension von Graben 1 zu korrigieren. In ähnlicher Weise erzeugt die Metrologiemaschine einen korrigierbaren Prozessparameterwert 112 und kommuniziert diesen an die Lithographiemaschine, die den Lithographieschritt 103 ausführt, um die Dimension von Graben 2 zu korrigieren.
  • In einigen Beispielen ist die Metrologiemaschine in der Lage, Messungen interessierender Strukturparameter durchzuführen. Beispielsweise ist die Metrologiemaschine in einigen Ausführungsformen in der Lage, CD1 und CD2 unabhängig zu messen, wie mit Verweis auf 2 beschrieben. Jedoch ist die Metrologiemaschine in einigen anderen Beispielen nicht in der Lage, alle interessierenden Parameter zu messen. Ziemlich häufig ist eine Metrologiemaschine in der Lage, die mittlere Grabengröße (d.h. (CD1+CD2)/2) zu messen, da die gemessenen Signale hauptsächlich empfindlich auf Änderungen des Volumens statt der Position sind. In diesen Beispielen ermöglicht durch die Metrologiemaschine empfangene Prozessinformation eine Messung von sowohl CD1 als auch CD2, unabhängig voneinander. Auf diese Weise ermöglicht Prozessinformation in Kombination mit Metrologiesignalinformation verbesserte Metrologiefähigkeit zusätzlich zu verbesserter Prozesssteuerung.
  • 2 zeigt einen LELE- oder (LE)2-Herstellungsprozessverlauf. Jedoch können die hierin beschriebenen Verfahren und Systeme im Allgemeinen auf jeden Mehrfachstrukturierungsprozessverlauf, etwa einen (LE)N-Herstellungsprozessverlauf, der N Litho-Ätz-Schritte involviert, wobei N eine beliebige positive ganze Zahl ist, eine beliebige selbstausgerichtete Mehrfachstrukturierungstechnik, etc. angewendet werden.
  • In einem anderen Aspekt werden mehrere Metrologiemaschinen verwendet, um einen Herstellungsprozess zu steuern, in Kombination mit Prozessinformation von einem oder mehreren Prozessschritten in dem Prozessverlauf. Zusätzlich zu mit Verweis auf 2 beschriebener Prozessinformation wird auch Metrologieinformation von einem zusätzlichen in den Prozessverlauf eingefügten Metrologieschritt verwendet, um die Metrologie der Struktur zu verbessern und um die Prozesssteuerung zu verbessern.
  • 4 zeigt einen Herstellungsprozessverlauf 200, der eine Folge von Herstellungsprozessschritten und zwei Metrologieschritte beinhaltet. Gleich nummerierte Elemente sind analog zu den mit Bezug auf 2 beschriebenen. Wie in 4 dargestellt, beinhaltet der Prozessverlauf 200 Lithographieschritte 101 und 103, und Ätzschritte 102 und 104, wie mit Bezug auf 2 beschrieben. Jedoch beinhaltet der Prozessverlauf 200 zusätzlich zwei Metrologieschritte. Der Metrologieschritt 201 ist in der Mitte des Prozessverlaufs 200 eingefügt, und der Metrologieschritt 202 wird am Ende des Prozessverlaufs 200 durchgeführt.
  • In einem Aspekt wird der Wafer im physikalischen Zustand 117 durch eine Metrologiemaschine gemessen, die Metrologieschritt 201 ausführt, und eine Angabe dieser Messergebnisse wird an eine Metrologiemaschine kommuniziert, die Metrologieschritt 202 am Ende des Prozessverlaufs 200 ausführt. Im Metrologieschritt 202 wiederum wird eine Metrologiemaschine eingesetzt, um interessierende Strukturparameter der Metrologieziele auf dem Wafer im physikalischen Zustand 118 zu messen, auf Grundlage von Prozessinformation, die von irgendeinem der vorhergehenden Prozessschritte (d.h. Schritte 101-104) erhalten wurde, und der Messergebnisse 203, die von dem dazwischenliegenden Metrologieschritt 201 erhalten wurden.
  • Wie mit Bezug auf 2 beschrieben, erzeugt die Metrologiemaschine, die Metrologieschritt 202 ausführt, korrigierbare Prozessparameterwerte für einen oder mehrere der Prozessschritte des Prozessverlaufs 200 auf Grundlage der Messergebnisse, die im Metrologieschritt 202 erhalten wurden. Die korrigierbaren Prozessparameterwerte werden an ein oder mehrere Prozessmaschinen kommuniziert, die in einen oder mehrere der Prozessschritte 101-104 involviert sind. Bei Ausführung durch die geeignete Prozessmaschine reduzieren die korrigierbaren Prozessparameterwerte prozessinduzierte Fehler in der Geometrie der durch den Prozessverlauf 200 hergestellten Strukturen.
  • Die 2 bis 4 zeigen Beispiele von Mehrfachstrukturierungsprozessen vom (LE)N-Typ. Jedoch sind die hierin beschriebenen Metrologie- und Prozesssteuertechniken auch auf selbstausgerichtete Mehrfachstrukturierungsprozesse anwendbar. Fortgeschrittene Prozessstadien (z.B. 5-Nanometer- und 3,5-Nanometer-Prozessstadien) erfordern komplexe Strukturierungsschemata, um gewünschte Rippen-Pitches zu erzielen. Um beispielsweise einen Rippen-Pitch unterhalb von 20 Nanometer zu erzielen, kann ein selbstausgerichteter Oktuplett-Strukturierungsprozess (SAOP) erforderlich sein.
  • 5 zeigt einen Prozessverlauf 300, der ein Beispiel für einen SAOP-Prozess ist. Der Prozessverlauf 300 beinhaltet einen Lithographieschritt gefolgt von einer Wiederholungsfolge von Ätz- und Abscheidungsschritten. Wie in 5 gezeigt, wird ein eintreffender Wafer in einem bestimmten physikalischen Zustand 310 innerhalb des Herstellungsprozesses durch Lithographieschritt 301 in einem anderen physikalischen Zustand 311 als Ergebnis des Lithographieprozesses überführt. Ähnlich überführt Ätzschritt 302 den Wafer von Zustand 311 in Zustand 312, überführt Abscheidungsschritt 303 den Wafer von Zustand 312 in Zustand 313, überführt Ätzschritt 304 den Wafer von Zustand 313 in Zustand 314, überführt Abscheidungsschritt 305 den Wafer vom Zustand 314 in Zustand 315, überführt Ätzschritt 306 den Wafer von Zustand 315 in Zustand 316, überführt Abscheidungsschritt 307 den Wafer vom Zustand 316 in Zustand 317, überführt Ätzschritt 308 den Wafer von Zustand 317 in den Zustand 318.
  • In einem Aspekt wird eine Metrologiemaschine im Metrologieschritt 309 eingesetzt, um interessierende Strukturparameter von Metrologiezielen auf dem Wafer im physikalischen Zustand 318 zu messen und korrigierbare Prozessparameterwerte an eine oder mehrere Prozessmaschinen zu kommunizieren, die in einen oder mehrere der Prozessschritte 301-308 involviert sind. Bei Ausführung durch die geeignete Prozessmaschine reduzieren die korrigierbaren Prozessparameterwerte prozessinduzierte Fehler in der Geometrie der durch Prozessverlauf 300 hergestellten Strukturen.
  • Wie in 5 gezeigt, wird Prozessinformation 319 von einer zur Durchführung des Lithographieschrittes 301 eingesetzten Lithographiemaschine an die zur Durchführung des Metrologieschrittes 309 eingesetzte Metrologiemaschine kommuniziert. Ähnlich wird Prozessinformation 320 von einer zur Durchführung des Ätzschrittes 302 eingesetzten Ätzmaschine an die Metrologiemaschine kommuniziert, wird Prozessinformation 321 von einer zur Durchführung des Abscheidungsschrittes 303 eingesetzten Abscheidungsmaschine an die Metrologiemaschine kommuniziert, wird Prozessinformation 322 von einer zur Durchführung des Ätzschrittes 304 eingesetzten Ätzmaschine an die Metrologiemaschine kommuniziert, wird Prozessinformation 323 von einer zur Durchführung des Abscheidungsschrittes 305 eingesetzten Abscheidungsmaschine an die Metrologiemaschine kommuniziert, wird Prozessinformation 324 von einer zur Durchführung des Ätzschrittes 306 eingesetzten Ätzmaschine an die Metrologiemaschine kommuniziert, wird Prozessinformation 325 von einer zur Durchführung des Abscheidungsschrittes 307 eingesetzten Abscheidungsmaschine an die Metrologiemaschine kommuniziert, und wird Prozessinformation 326 von einer zur Durchführung des Ätzschrittes 308 eingesetzten Ätzmaschine an die Metrologiemaschine kommuniziert.
  • Obwohl wie in 5 dargestellt Prozessinformation von jedem Prozessschritt des Prozessverlaufs 300 an die Metrologiemaschine kommuniziert wird, kann im Allgemeinen Prozessinformation von einem oder mehreren beliebigen der Prozessschritte an die Metrologiemaschine kommuniziert werden.
  • Wie in 5 gezeigt, erzeugt die Metrologiemaschine korrigierbare Prozessparameterwerte auf Grundlage der Werte eines oder mehrerer Strukturparameter des Wafers im Zustand 318, die von der Metrologiemaschine im Metrologieschritt 309 gemessen werden, und der empfangenen Prozessinformation (z.B. beliebige der Prozessinformation 319-326). Beispielsweise werden, wie in 5 gezeigt, korrigierbare Prozessparameterwerte 327 an die Lithographiemaschine kommuniziert, die zur Durchführung des Lithographieschritts 301 eingesetzt wird. Ähnlich werden korrigierbare Prozessparameterwerte 328 an eine Ätzmaschine kommuniziert, die zur Durchführung des Ätzschritts 302 eingesetzt wird, werden korrigierbare Prozessparameterwerte 329 an die Abscheidungsmaschine kommuniziert, die zur Durchführung des Abscheidungsschritts 303 eingesetzt wird, werden korrigierbare Prozessparameterwerte 330 an eine Ätzmaschine kommuniziert, die zur Durchführung des Ätzschritts 304 eingesetzt wird, werden korrigierbare Prozessparameterwerte 331 an die Abscheidungsmaschine kommuniziert, die zur Durchführung des Abscheidungsschritts 305 eingesetzt wird, werden korrigierbare Prozessparameterwerte 332 an eine Ätzmaschine kommuniziert, die zur Durchführung des Ätzschritts 306 eingesetzt wird, werden korrigierbare Prozessparameterwerte 333 an die Abscheidungsmaschine kommuniziert, die zur Durchführung des Abscheidungsschritts 307 eingesetzt wird, und werden korrigierbare Prozessparameterwerte 334 an eine Ätzmaschine kommuniziert, die zur Durchführung des Ätzschritts 308 eingesetzt wird.
  • Obwohl, wie in 5 dargestellt, korrigierbare Prozessparameterwerte an eine Maschine kommuniziert werden, die jeden Prozessschritt des Prozessverlaufs 300 ausführt, können im Allgemeinen korrigierbare Prozessparameterwerte an eine Maschine kommuniziert werden, die einen oder mehrere beliebige der Prozessschritte ausführt.
  • 6 zeigt ein Beispiel der Bildung von Rippenabstandselementen, die von einem bestimmten Beispiel des in 5 gezeigten Prozessverlaufs 300 erzeugt werden. 6 zeigt ein Metrologieziel in jedem Stadium der Rippenabstandselementbildung innerhalb des SAOP-Prozesses 300. Im physikalischen Zustand 311 (nach Lithographieschritt 301) beinhaltet die interessierende Struktur eine Substratschicht 330, und eine Wiederholungsfolge von Nitridschichten 331, 333, 335 und Oxidschichten 332, 334 und 336, eine Schicht 337 einer antireflektierenden Unterseitenbeschichtung (BARC) und eine strukturierte Photolackschicht 338. Im physikalischen Zustand 313 (nach Abscheidungsschritt 303) sind die strukturierte Photolackschicht 123 und die BARC-Schicht 337 vollständig entfernt, zusammen mit einem Teil der Nitridschicht 335, es verbleiben zwei Abstandselementstrukturen 335A-B. An diesem Punkt ist die erste Menge an Rippenabstandselementstrukturen ausgebildet. Im physikalischen Zustand 315 sind die Schichten 334 und 335 entfernt, zusammen mit einem Teil der Nitridschicht 333, es verbleiben vier Abstandselementstrukturen 333A-D. An diesem Punkt ist die zweite Menge an Rippenabstandselementstrukturen ausgebildet. Im physikalischen Zustand 317 sind die Schichten 332 und 333 entfernt, zusammen mit einem Teil der Nitridschicht 331, es verbleiben acht Abstandelementstrukturen 331A-H. An diesem Punkt ist die dritte Menge an Rippenabstandselementstrukturen ausgebildet, und die sich ergebende Struktur wird durch eine Metrologiemaschine im Metrologieschritt 309 gemessen.
  • In diesem Beispiel ist die Metrologiemaschine nicht in der Lage, direkt eine kritische Dimension zu messen, die jeder Rippenabstandselementstruktur 331A-H zugeordnet ist. Jedoch ist das Metrologiesystem mit zusätzlicher Prozessinformation in der Lage, die Abmessungen jeder Rippenabstandselementstruktur zu bestimmen, und zu bestimmen, welche Rippenabstandselementstrukturen auf jeden Prozessschritt empfindlich sind. In diesem Beispiel wird Dosisinformation 319 von der Lithographiemaschine, die zur Durchführung des Lithographieschrittes 301 eingesetzt wird, an die Metrologiemaschine kommuniziert, zusammen mit einer Angabe 321 der kritischen Dimension CD1 der Abstandselemente, einer Angabe 323 der kritischen Dimension CD2 der Abstandselemente, und einer Angabe 325 der kritischen Dimension CD3 der Abstandselemente. Auf Grundlage der erhaltenen Dosis- und Dimensionsinformation assoziiert die Metrologiemaschine jedes Rippenabstandselement mit den entsprechenden Prozessschritten, die die jeweilige Rippenabstandselementstruktur erzeugt haben. Auf diese Weise ermöglicht die Ergänzung der Metrologie mit Prozessinformation die Steuerung des SAOP-Prozesses mit einer einzigen Metrologiemaschine.
  • In einigen Ausführungsformen verwendet eine Metrologiemaschine ein physikalisch basiertes Messmodell, um die Werte interessierender Strukturparameter aus Messdaten (z.B. gemessenen Spektren) zu schätzen. Metrologietechniken, die physikalische, modellbasierte Messungen einsetzen, erfordern für gewöhnlich ein parametrisiertes geometrisches Modell der ausgebildeten Struktur. Beispiele von Parametern beinhalten die kritische Dimension, den Pitch-Gang oder andere interessierende Parameter. Zusätzlich ist ein genaues elektromagnetisches Modell der Wechselwirkung zwischen dem optischen System und der vermessenen Struktur erforderlich, um während der Messung erzeugte Signale zu simulieren. Nichtlineare Regression der simulierten Signale gegen gemessene Signale wird verwendet, um Parameter der modellierten Struktur zu bestimmen. Dieser Ansatz erfordert genaue Modellierung der Struktur und der Materialeigenschaften.
  • In diesen Ausführungsformen wird Prozessinformation, die von zur Durchführung vorhergehender Prozessschritte eingesetzten Maschinen empfangen wurde, direkt in das Messmodell eingegeben. In einigen Beispielen werden Prozessparameterwerte des Messmodells auf Werte festgelegt, die von den Prozessmaschinen erhalten wurden. In anderen Beispielen wird erhaltene Prozessinformation weiter verarbeitet, um zu bestimmten Werten von Modellparametern oder mathematischen Beziehungen zwischen Modellparametern zu gelangen. Auf diese Weise wird die empfangene Prozessinformation eingesetzt, um das Messmodell einzuschränken und Parameterkorrelationen zu reduzieren.
  • In einigen anderen Ausführungsformen verwendet eine Metrologiemaschine ein Eingabe-Ausgabe-Messmodell, um die Werte interessierender Strukturparameter aus Messdaten (z.B. gemessenen Spektren) zu schätzen. Diese Modelle beinhalten Signalantwort-Metrologiemodelle, Neuronale-Netzwerk-Modelle, Support-Vector-Machine-Modelle, etc.
  • In einem weiteren Aspekt wird ein eingelerntes Eingabe-Ausgabe-Messmodell eingesetzt, um Werte interessierender Strukturparameter auf Grundlage gemessener Signale und von Prozessinformation, die von zur Herstellung der vermessenen Probe in vorhergehenden Prozessschritten eingesetzten Maschinen erhalten wurde, zu schätzen. Die Kombination von Messsignalen und Prozessinformation enthält mehr Information, die erforderlich ist, um kritische Strukturen zu trennen und zu messen, als anderweitig auf Grundlage von entweder Messsignalen oder Prozessinformation allein erreichbar wäre.
  • In einigen Beispielen wird ein SRM-Messmodell auf Grundlage von Prozessinformation aus vorhergehenden Prozessschritten (z.B. simulierte Prozessdaten oder tatsächliche Prozessdaten, die mit der Herstellung eines Design-Of-Experiments-(DOE)-Wafer assoziiert sind) und rohen Messdaten (z.B. simulierte Spektren oder vom DOE-Wafer erfasste Spektren), die von Messstellen, darunter Metrologieziele mit Mehrfachstrukturen (simuliert oder tatsächlich), erfasst wurden, erzeugt. Maschinelles Lernen, Merkmalsextraktion und andere Techniken werden eingesetzt, um ein direktes Eingabe-Ausgabe-Modell (d.h. eine Transferfunktion) zu erzeugen, das DOE-Prozessinformation und Spektren eines oder mehrerer Ziele mit Mehrfachstrukturen mit entsprechenden Referenzmessungen der interessierenden Parameter in Beziehung setzt. In einigen Ausführungsformen beinhaltet das Trainingsset von Metrologiezielen mit Mehrfachstrukturen Ziele, die nominell gleich sind, d.h. die Ziele unterscheiden sich voneinander aufgrund von Prozessschwankungen. In einigen Ausführungsformen werden die Prozessschwankungen, die die interessierenden Parameter beeinflussen, zum Zwecke des Einlernens des Modells absichtlich verstärkt.
  • In einem Beispiel setzt die Transferfunktion Prozessinformation und Scatterometriesignale mit entsprechenden CD-SEM-Messungen eines in 7 gezeigten SAQP-Zieles 131 in Beziehung. Ein SRM-Modell wird für jeden interessierenden Parameter erzeugt, und dasselbe Modell wird verwendet, um nachfolgende Messungen an anderen Messstellen durchzuführen.
  • Um das SRM-Modell einzulernen, wird eine Menge an rohen Messdaten, die mit Messungen einer Vielzahl von Messstellen und entsprechender Prozessinformation aus vorhergehenden Prozessschritten assoziiert ist, von einem Computersystem (z.B. Computersystem 330) empfangen. Jede der Vielzahl an Messstellen beinhaltet ein mehrfach strukturiertes Metrologieziel, das durch mindestens einen interessierenden Parameter charakterisiert ist und durch mindestens zwei Strukturierungsschritte eines Mehrfachstrukturierungsprozesses erzeugt wurde. Ein Wert des/der interessierenden Parameter(s) ist an jeder der Vielzahl an Messstellen bekannt.
  • Zum Zwecke des Einlernens des Modells können Messdaten von jeder beliebigen Stelle mit bekannten Störungen der Designparameter, d.h. der Struktur- oder Prozessparameter, erfasst werden. Diese Stellen können beispielsweise in der Schreibzeile (scribe line), auf dem Bauelement oder an anderen Stellen auf dem Wafer liegen, wo beispielsweise lithographische Belichtungsbedingungen oder Eigenschaften des Retikeldesigns über einen Wertebereich schwanken. In einem anderen Beispiel können Messdaten von verschiedenen Bauelementstellen (z.B. einer Stelle mit dichten Strukturen und einer Stelle mit isolierten Strukturen, oder Stellen mit zwei unterschiedlichen CDs auf der Maske) erfasst werden. Im Allgemeinen werden die Messdaten von unterschiedlichen Stellen erfasst, die in einer bekannten Weise gestört sind. Die Störung kann aus Maskendaten bekannt sein, aus Equipment-Data-Acquisition-(EDA)-Daten, aus Prozessdaten, etc.
  • In einem Beispiel werden beliebig Fokus, Belichtung und Overlay systematisch über das Bauelement oder den Wafer variiert. In einem anderen Beispiel wird eine zufällige Fokus- und Belichtungsmatrix (Focus and Exposure Matrix, FEM) eingesetzt, um die Korrelation mit Parametern der Unterschicht zu reduzieren, wie im US-Patent 8.142,966 an Izikson et al. beschrieben, welches hierin zur Gänze durch Verweis aufgenommen wird.
  • In einer bevorzugten Ausführungsform wird die Menge an systematischen Variationen bei der Herstellung eines tatsächlichen DOE-Wafers umgesetzt. Der DOE-Wafer wird anschließend gemessen, um die rohen Messdaten zu erzeugen. Ein hergestellter Wafer beinhaltet systematische Fehler, welche nicht einfach durch Simulation modelliert werden können. Beispielsweise wird die Wirkung von Unterschichten durch Messungen an einem echten Wafer genauer erfasst. Der Beitrag der Unterschichten kann von den Messantworten durch Modifikation der Prozessparameter während der Herstellung dekorreliert werden, z.B. durch Variationen von Fokus und Belichtung für eine fixierte Unterschichtbedingung. In einem anderen Beispiel kann der Beitrag der Unterschicht durch Erfassung mehrerer Datensätze von Strukturen mit sich verändernder Topographie der obersten Schicht und konstanter Unterschichtbedingung abgemildert werden. In einem Beispiel kann die oberste Schicht periodische Strukturen beinhalten und die Unterschicht kann nicht-periodisch sein.
  • Messstellen können zur Steigerung der Messempfindlichkeit ausgewählt werden. In einem Beispiel sind Messungen, die an Linienenden ausgeführt werden, am empfindlichsten gegenüber Fokusveränderungen. Im Allgemeinen sollten Messungen an Strukturen vorgenommen werden, die am empfindlichsten gegenüber Veränderungen des zu messenden Parameters sind.
  • Obwohl die Durchführung tatsächlicher Messungen an DOE-Wafern bevorzugt ist, können in einigen anderen Beispielen die Prozessinformation und die Messantwort eines DOE-Wafers für andere bekannte Strukturparameterwerte simuliert werden. In diesen Beispielen werden die Prozessinformation und die rohen Messdaten synthetisch erzeugt. Beispielsweise kann ein Prozesssimulator wie die „Positive Resist Optical Lithography (PROLITH)“-Simulationssoftware, erhältlich von KLA-Tencor Corporation, Milpitas, California (USA), verwendet werden. Allgemein kann im Rahmen dieses Patentdokuments jede beliebige Prozessmodellierungs-Technik oder -Maschine in Betracht gezogen werden (z.B. Coventor Simulationssoftware, erhältlich von Coventor, Inc., Cary, North Carolina, USA).
  • In einigen Beispielen beinhalten die rohen Messdaten zwei ellipsometrische Parameter (Ψ, Δ) über einen an unterschiedlichen Messstellen erhaltenen spektralen Bereich. Jedoch können im Allgemeinen die Messdaten beliebige Messdaten sein, die strukturelle oder geometrische Eigenschaften der auf der Oberfläche eines Halbleiterwafers ausgebildeten Strukturen angeben.
  • In einigen Beispielen sind die Messdaten mit Simulationen von Prozessparametern und entsprechenden Messungen der Messstellen auf der Oberfläche eines DOE-Wafers (z.B. Wafer 130) assoziiert. Beispielsweise können die Messdaten simulierte spektrale Messungen beinhalten, die mit dem mit jeder Messstelle assoziierten Mehrfachstrukturmetrologieziel assoziiert sind.
  • In einigen anderen Beispielen sind die Messdaten mit tatsächlichen Messungen der Messstellen auf der Oberfläche eines DOE-Wafers (z.B. Wafer 130) assoziiert. Die Messdaten beinhalten tatsächliche spektrale Messungen, die mit dem mit jeder Messstelle assoziierten Mehrfachstrukturmetrologieziel assoziiert sind.
  • In einigen Beispielen sind die Messdaten mit Messungen der Vielzahl der Messstellen auf einem Design-Of-Experiments-(DOE)-Wafer assoziiert, und der/die das mehrfach strukturierte Metrologieziel charakterisierende(n) interessierende(n) Parameter wird/werden durch ein Referenzmesssystem an jeder der Messstellen gemessen. Das Referenzmetrologiesystem ist ein vertrauenswürdiges Metrologiesystem, etwa ein Rasterelektronenmikroskop (SEM), Tunnelelektronenmikroskop (TEM), Rasterkraftmikroskop (AFM), oder ein Röntgenmesssystem, etwa ein Kleinwinkel-Röntgen-Scatterometer (SAXS) oder ein Röntgen-Fluoreszenz-(XRF)-System, das in der Lage ist, den Parameterwert genau zu messen. Jedoch fehlt es dem Referenzmetrologiesystem im Allgemeinen an der Fähigkeit, als Inline-Metrologiesystem zu arbeiten, beispielsweise wegen geringen Durchsatzes, hoher Messunsicherheit für die Messung einzelner Stellen, etc.).
  • In einigen Ausführungsbeispielen werden Prozessschwankungen und zugehörige Parameterschwankungen in einer Design-Of-Experiments-(DOE)-Struktur auf der Oberfläche eines Halbleiterwafers (z.B. DOE-Wafers) organisiert, beispielsweise wie hierin mit Verweis auf 7 beschrieben. Auf diese Weise fragt das Messsystem unterschiedliche Stellen auf der Waferoberfläche ab, welche unterschiedlichen Prozess- und entsprechenden Strukturparameterwerten entsprechen. In dem mit Bezug auf 7 beschriebenen Beispiel sind die Messdaten mit einem DOE-Wafer assoziiert, der mit bekannten Schwankungen der in 6 gezeigten CD1 und CD2 verarbeitet wird. Beispielsweise wird CD1 variiert, indem die Dosis während der Lithographie verändert wird, und CD2 wird variiert, indem die Dicke der Abstandselemente 335A-B geändert wird. CD3 ist die Distanz zwischen Abstandselement 333D und Abstandselement 333E, das mit der benachbarten Einheitszelle (mit gestrichelten Linien an Stelle von Schattierung gezeigt) assoziiert ist. CD3 ist mit CD1 und CD2 durch Gleichung (2) verbunden, CD3 = LithoPitch 4 T Abstandselement CD 1 2 CD 2
    Figure DE112017001846T5_0001
    worin LithoPitch ein vordefinierter Pitch der Photolackgitterstruktur ist, und TAbstandselement die Dicke der Abstandselemente 333A-D ist. Obwohl in diesem Beispiel die Dosis und die Dicke der Abstandselemente variiert werden, um die gewünschten Parameterschwankungen zu erzeugen, können im Allgemeinen Messdaten, die mit beliebigen bekannten Schwankungen von Prozessparametern (z.B. LithographieFokus, Belichtung und andere lokale und globale Parameter), Strukturparametern oder beidem assoziiert sind, in Betracht gezogen werden.
  • 7 zeigt einen Halbleiterwafer 130 mit einer Anzahl Dies (z.B. Die 133), die an verschiedenen Messstellen auf der Oberfläche des Wafers befindlich sind. In der in 7 gezeigten Ausführungsform befinden sich die Dies an Messstellen, die in einem rechteckigen Gittermuster angeordnet sind, das an dem gezeigten x- und y-Koordinatensystem 132 ausgerichtet ist. Jeder Die beinhaltet ein SAQP-Metrologieziel 131. In der in 7 gezeigten Ausführungsform beinhaltet jedes mehrfach strukturierte Metrologieziel 131 eine Menge an Linien, die sich aus einem ersten Strukturierungsschritt ergeben, zusammen mit mindestens einer weiteren Menge dazwischenliegender Linien, die sich aus einem nachfolgenden Schritt in dem Mehrfachstrukturierungsprozess ergeben. Im Ergebnis beinhaltet jedes mehrfach strukturierte Metrologieziel eine Gitterstruktur mit einem sich wiederholenden Muster an Abstandselementstrukturen, etwa wie die mehrfach strukturierte Einheitszelle 131. Die Geometrie der mehrfach strukturierten Einheitszelle 131 ist durch CD1, CD2, CD3 und den Pitch-Gang charakterisiert, wie mit Verweis auf 6 beschrieben.
  • Wafer 130 beinhaltet ein Array von Dies mit unterschiedlichen bekannten Strukturparameterwerten. Somit hat CD1 verschiedene bekannte Werte, abhängig von ihrer Position auf dem Wafer 130. Auf diese Weise kann der Wafer 130 als ein Design-Of-Experiments-(DOE)-Wafer angesehen werden. Es ist wünschenswert, dass der DOE-Wafer eine Matrix an mehrfach strukturierten Metrologiezielen enthält, die den vollen Bereich von Strukturparameterwerten (z.B. CD1) umspannen, die sich erwartungsgemäß aus dem zugrundeliegenden Prozessfenster ergeben. Wie in 7 gezeigt, sind die CD1-Werte für unterschiedliche Spalten von Dies (Spaltenindex in der x-Richtung) verschieden. So beinhaltet der Wafer 130 Spalten an Dies, die unterschiedliche CD1-Werte beinhalten, abhängig von ihrer Position auf dem Wafer. Ferner erstrecken sich die CD1-Werte über die CD1-Werte, die sich erwartungsgemäß aus dem Prozessfenster ergeben.
  • In einigen Ausführungsformen wird eine Menge DOE-Wafer ähnlich dem DOE-Wafer 130 hergestellt, wobei jeder DOE-Wafer der Menge einen anderen bekannten nominellen CD2-Wert hat. CD2 wird variiert, indem die Abstandselementdicke oder die Ätzbedingungen geändert werden, welche den gesamten Wafer beeinflussen. Somit wird jeder DOE-Wafer unter geringfügig anderen Prozessbedingungen hergestellt, um verschiedene nominelle CD2-Werte zu erzielen. Jeder DOE-Wafer der Menge beinhaltet einen Bereich unterschiedlicher bekannter CD1-Werte, wie hierin zuvor mit Bezug auf Wafer 130 beschrieben.
  • In einigen Beispielen werden ein oder mehrere Merkmale der rohen Messdaten extrahiert, indem eine Dimension der Messdaten reduziert wird. Obwohl diese Reduktion optional ist, wird, wenn sie eingesetzt wird, das SRM-Messmodell zumindest teilweise auf Grundlage der ein oder mehreren extrahierten Merkmale bestimmt. Ähnlich werden ein oder mehrere Merkmale der von vorhergehenden Prozessschritten empfangenen Prozessinformation extrahiert, indem eine Dimension der Prozessinformation reduziert wird.
  • Allgemein kann die Dimension der Messdaten, der Prozessinformation, oder von beidem, durch eine Anzahl bekannter Methoden reduziert werden, darunter eine Hauptkomponentenanalyse, eine nichtlineare Hauptkomponentenanalyse, eine Auswahl einzelner Signale von der zweiten Menge an Messdaten und eine Filterung der zweiten Menge an Messdaten.
  • In einigen Beispielen werden die Messdaten, Prozessinformation oder beides analysiert unter Verwendung von Hauptkomponentenanalyse (PCA, Principal Components Analysis), nichtlineare PCA, Kern-PCA, Analyse unabhängiger Komponenten (ICA, Independent Component Analysis), Analyse mit Fast Fourier Transform (FFT), Analyse mit diskreter Kosinus-Transformation (DCT) oder einer Kombination dieser Techniken, um Merkmale zu extrahieren, die die Schwankungen der Prozessparameter, Strukturparameter oder von beidem, die an den unterschiedlichen Messstellen auftreten, am stärksten reflektieren. In einigen anderen Beispielen kann eine Signalfilterungstechnik angewendet werden, um Signaldaten zu extrahieren, die die an den unterschiedlichen Messstellen auftretenden Parameterschwankungen am stärksten reflektieren. In einigen anderen Beispielen können einzelne Signale, die die an den unterschiedlichen Messstellen auftretenden Parameterschwankungen am stärksten reflektieren, aus mehreren in den Messdaten vorhandenen Daten ausgewählt werden. Obwohl es bevorzugt ist, Merkmale aus den Messdaten und der Prozessinformation zu extrahieren, um die Dimension der Daten, die einer nachfolgenden Analyse unterzogen werden, zu reduzieren, ist dies nicht zwingend erforderlich.
  • Ein SRM-Messmodell wird auf Grundlage von Prozessinformation und zugehörigen rohen Messsignalen bestimmt, oder auf Grundlage reduzierter Versionen von einem oder beiden davon. Ein eingelerntes SRM-Messmodell wird strukturiert, um Messdaten, die von einem Metrologiesystem an einer oder mehreren Messstellen erzeugt wurden, und zugehörige Prozessinformation von vorhergehenden Prozessschritten zu empfangen, und direkt mit jedem Messziel assoziierte Strukturparameterwerte zu bestimmen. In einer bevorzugten Ausführungsform wird das SRM-Messmodell als ein Neuronales-Netzwerk-Modell umgesetzt. In einem Beispiel wird die Anzahl der Knoten des neuronalen Netzwerks auf Grundlage der aus den Messdaten extrahierten Merkmale, der Prozessinformation oder von beidem ausgewählt. In anderen Beispielen kann das SRM-Messmodell als ein lineares Modell, ein Polynom-Modell, ein Antwort-Flächen-Modell, ein Entscheidungsbaum-Modell, ein Random-Forest-Modell, ein Support-Vector-Machine-Modell oder als andere Arten von Modellen umgesetzt werden.
  • Das SRM-Messmodell wird auf Grundlage der bekannten Werte des interessierenden Parameters eingelernt. In einigen Beispielen wird das eingelernte SRM-Messmodell unter Verwendung von DOE-Prozessinformation, rohen Messdaten und bekannten Parameterwerten erzeugt. Das Modell wird so eingelernt, dass seine Ausgabe zu der definierten erwarteten Antwort für alle Spektren in dem durch die DOE-Spektren definierten Prozessschwankungsraum passt.
  • In einigen Beispielen wird das eingelernte SRM-Modell verwendet, um Strukturparameterwerte direkt aus Messdaten (z.B. Spektren), die von tatsächlichen Bauelementstrukturen anderer Wafer (z.B. Produktwafer) erfasst wurden, und zugehöriger Prozessinformation von vorhergehenden Prozessen, die zur Herstellung der gemessenen Strukturen eingesetzt werden, zu berechnen. Das SRM-Messmodell empfängt Messdaten (z.B. gemessene Spektren) und zugehörige Prozessinformation direkt als Eingabe und liefert Parameterwerte als Ausgabe und ist somit ein eingelerntes Eingabe-Ausgabe-Modell.
  • Zusätzliche Details hinsichtlich der Modellerzeugung, dem Einlernen und der Verwendung als Teil des Messprozesses werden beschrieben im US-Patent 8,843,875 an Pandev, US-Patentveröffentlichung 2014/0297211 von Pandev et al., US-Patentveröffentlichung 2014/0316730 von Shchegrov et al., US-Patentveröffentlichung 2014/0172394 , US-Patentveröffentlichung 2015/0042984 von Pandev et al., US-Patentveröffentlichung 2015/0046118 von Pandev et al., US-Patentveröffentlichung 2015/0235108 von Pandev et al., US-Patentveröffentlichung 2016/0109230 von Pandev et al., und US-Patentveröffentlichung 2015/0323471 von Sapien et al., die jeweils zur Gänze hierin durch Verweis aufgenommen werden.
  • In einigen Beispielen werden eine Menge an optischen Messdaten, die mit Messungen eines Metrologiezieles auf einer Oberfläche eines Halbleiterwafers assoziiert sind, und Prozessinformation, die mit vorhergehenden Prozesschritten zur Herstellung des Metrologiezieles assoziiert ist, von einem Computersystem (z.B. Computersystem 330) empfangen. Der/Die interessierende(n) Parameter weist/weisen auf einen geometrischen Fehler hin, der von dem Mehrfachstrukturierungsprozess induziert wird.
  • In einem Beispiel sind Strukturparameter CD1, CD2, CD3 und Pitch-Gang der in 6 gezeigten Zielstruktur interessierende Parameter. Diese Parameter werden als nicht-einschränkendes Beispiel angeführt. Im Allgemeinen können viele andere Strukturparameter (z.B. Böschungswinkel, untere kritische Dimension, etc.) verwendet werden, um von einem Mehrfachstrukturierungsprozess induzierte geometrische Fehler anzuzeigen.
  • In einigen Ausführungsformen beinhaltet ein vermessener Produktwafer ein Array von Strukturen mit nominellen Werten. Somit haben CD1, CD2, CD3 und der Pitch-Gang die gleichen nominellen Werte, unabhängig von der Position auf dem Wafer.
  • In einigen Beispielen beinhalten die Messdaten zwei ellipsometrische Parameter (ψ, Δ) über einen Spektralbereich, der bei verschiedenen Messstellen erhalten wurde. Die Messdaten beinhalten spektrale Messungen, die mit dem mehrfach strukturierten Metrologieziel assoziiert sind, das mit jeder Messstelle assoziiert ist. Obwohl die Messdaten in einigen Beispielen spektrale Messdaten sind, können die Messdaten im Allgemeinen beliebige Messdaten sein, die die strukturellen oder geometrischen Eigenschaften der auf der Oberfläche eines Halbleiterwafers ausgebildeten Strukturen angeben.
  • Der Wert zumindest eines mit dem Metrologieziel assoziierten interessierenden Parameters wird auf Grundlage der Messdaten, assoziierter Prozessinformation und eines eingelernten SRM-Messmodell bestimmt. Der Wert des interessierenden Parameters zeigt einen von dem Mehrfachstrukturierungsprozess induzierten geometrischen Fehler an. Der Wert des interessierenden Parameters wird direkt aus dem eingelernten SRM-Messmodell berechnet.
  • Der Wert des interessierenden Parameters wird in einem Speicher (z.B. Speicher 332) gespeichert.
  • Aufgrund der in einigen Zielen vorliegenden strukturellen Symmetrie können kritische Dimensionen oftmals nicht direkt allein aus Scatterometriesignalen, die von einem einzelnen Ziel abgeleitet wurden, und zugehöriger Prozessinformation gemessen werden. Beispielsweise sind die Scatterometriesignale von einer Gitterstruktur, die eine Störung positiven Werts in der kritischen Dimension, CD, aufweist (z.B. CD+x), identisch zu den Scatterometriesignalen von einer Gitterstruktur, die eine Störung negativen Werts in der CD (z.B. CD-x), aufweist.
  • In einem weiteren Aspekt wird ein SRM-Messmodell eingelernt auf Grundlage von Messsignalen und zugehöriger Prozessinformation von mehreren Zielen, die in eine Mehrfach-Ziel-Menge integriert sind, und arbeitet mit Messsignalen von den gleichen mehreren Zielen. Diese Herangehensweise dekorreliert kritische Parameter voneinander und von anderen Prozessschwankungen.
  • In einigen Ausführungsformen sind Hilfsziele neben dem primären Messziel angeordnet und den gleichen Prozessschwankungen (z.B. SAQP-Prozessschwankungen) unterworfen. In diesen Ausführungsformen beinhaltet die Trainingsmenge von Metrologiezielen ein primäres Ziel mit nominellen Dimensionen und ein oder mehrere Hilfsziele, die andere nominelle Werte der interessierenden Parameter haben.
  • Die Hilfsziele werden während der Lithographieprozessschritte ausgebildet. In einigen Beispielen kann eine Maske mit anderem Linien-zu-Zwischenraum-Verhältnis und/oder anderem Pitch verwendet werden, um Hilfsziele zu erzeugen. Es ist bevorzugt, das primäre Ziel und die Hilfsziele so nahe wie möglich beieinander zu positionieren, um die Genauigkeit des SRM-Messmodells zu erhöhen. In einigen Ausführungsformen werden sowohl das primäre Ziel als auch die Hilfsziele an jeder Messstelle nebeneinanderliegend positioniert. Indem die Metrologieziele nahe beieinander positioniert werden, ist es weniger wahrscheinlich, dass vereinfachende Annahmen zur Verbindung von Parametern beider Metrologieziele signifikante Fehler induzieren. Beispielsweise ist es sehr wahrscheinlich, dass die Dicke einer darunterliegenden Schicht für beide Metrologieziele denselben Wert hat, solange die Ziele nahe beieinander positioniert werden. Somit kann für nebeneinanderliegende Metrologieziele die Dicke der darunterliegenden Schicht als der gleiche konstante Wert behandelt werden, ohne zu einem signifikanten Fehler zu führen.
  • Die Verwendung von Hilfszielen zum Einlernen und zur Verwendung eines SRM-Messmodells ist analog zu der Herangehensweise für ein Ziel, die hierin zuvor beschrieben wurde. Jedoch erfordert das Einlernen des Mehrfachziel-SRM-Messmodells zusätzlich Trainingsdaten von den Hilfszielen und dem primären Messziel. In ähnlicher Weise erfordert die Verwendung des Mehrfach-Ziel-SRM-Messmodells Messdaten von den Hilfszielen und dem primären Messziel. Referenzmessdaten zum Einlernen brauchen jedoch nur von dem primären Ziel erfasst zu werden, da die den Hilfszielen zugeordneten spezifischen Parameterwerte nicht von Interesse sind.
  • In einigen Ausführungsformen wird ein SRM-Messmodell auf Grundlage von Messsignalen von einem Metrologieziel eingelernt und verwendet, das bei mehreren Schritten des Mehrfachstrukturierungsprozesses gemessen wird. Gemessene Spektren oder gemessene interessierende Parameter von einem oder mehreren vorhergehenden Prozessschritten werden zum Einlernen und zur Verwendung des dem primären Ziel zugeordneten SRM-Messmodells weitergegeben. Diese Herangehensweise dekorreliert auch kritische Parameter voneinander und von anderen Prozessschwankungen.
  • Diese Herangehensweise benötigt keinen zusätzlichen Platz auf dem Wafer, der für die Einrichtung zusätzlicher Hilfsziele erforderlich ist. Allerdings erfordert diese Herangehensweise, dass Wafermessungen bei mehreren Prozessschritten durchgeführt werden.
  • Die Verwendung von Messdaten, die bei mehreren Prozessschritten erfasst wurden, zum Einlernen und zur Verwendung eines SRM-Messmodells ist analog zur hierin zuvor beschriebenen Herangehensweise für ein einziges Ziel. Allerdings erfordert das Einlernen des SRM-Messmodells zusätzlich die Messung des primären Zieles bei mindestens zwei verschiedenen Prozessschritten.
  • Ähnlich erfordert die Verwendung des SRM-Messmodells Messdaten von dem primären Ziel bei den verschiedenen Prozessschritten. Jedoch brauchen Referenzmessdaten zum Einlernen lediglich von dem primären Ziel bei dem aktuellsten Prozessschritt erfasst zu werden, da lediglich die spezifischen Parameterwerte des Zieles bei diesem Schritt von Interesse sind.
  • Wie hierin beschrieben sind durch Verwendung anderer Technologien erhaltene Referenzmessungen erforderlich, um das SRM-Modell einzulernen. CD-SEM ist ein Beispiel einer Messtechnik, die für ihre hohe Messunsicherheit bekannt ist.
  • Spezifische Beispiele, die LELE und SAOP involvieren, werden hierin als nichteinschränkende Beispiele beschrieben. Im Allgemeinen können die hierin beschriebenen Verfahren und Systeme eingesetzt werden, um die Messung interessierender Parameter zu verbessern, die von einer beliebigen Mehrfachstrukturierungstechnik (z.B. selbstausgerichtete Doppel-, Dreifach-, Vierfach-, Achtfach-Strukturierung, Doppel-Litho-Doppel-Ätz-(LELE)-Strukturierung, etc.) erzeugt wurden.
  • 8 zeigt ein System 400 zur Messung von Eigenschaften eines Exemplars gemäß den hierin vorgestellten beispielhaften Verfahren. Wie in 8 gezeigt, kann das System 400 verwendet werden, um spektroskopische ellipsometrische Messungen einer oder mehrerer Strukturen eines Exemplars 401 durchzuführen. Unter diesem Aspekt kann das System 400 ein spektroskopisches Ellipsometer beinhalten, das mit einem Beleuchter 402 und einem Spektrometer 404 ausgerüstet ist. Der Beleuchter 402 des Systems 400 ist dazu ausgebildet, Beleuchtung eines ausgewählten Wellenlängenbereichs (z.B. 150-2000 nm) zu erzeugen und auf die auf der Oberfläche des Exemplars 401 angeordnete Struktur zu richten. Das Spektrometer 404 wiederum ist dazu ausgebildet, von der Oberfläche des Exemplars 401 reflektierte Beleuchtung zu empfangen. Es sei ferner angemerkt, dass das aus dem Beleuchter 402 austretende Licht polarisiert wird durch die Verwendung eines Polarisationszustandserzeugers 407, um einen polarisierten Beleuchtungsstrahl 406 zu erzeugen. Die von der auf dem Exemplar 401 angeordneten Struktur reflektierte Strahlung wird durch einen Polarisationszustandsanalysator 409 und zu dem Spektrometer 404 geleitet. Die von dem Spektrometer 404 in dem Erfassungsstrahl 408 empfangene Strahlung wird hinsichtlich des Polarisationszustandes analysiert, was eine spektrale Analyse der durch den Analysator durchgelassenen Strahlung durch das Spektrometer ermöglicht. Diese Spektren 411 werden an das Computersystem 430 weitergegeben, zur Analyse der Struktur.
  • Wie in 8 dargestellt, beinhaltet das System 400 eine einzige Messtechnologie (z.B. SE). Jedoch kann das System 400 im Allgemeinen eine beliebige Anzahl unterschiedlicher Messtechnologien beinhalten. Als nichteinschränkendes Beispiel kann das System 400 ausgebildet sein als ein spektroskopisches Ellipsometer (darunter Mueller-Matrix-Ellipsometrie), ein spektroskopisches Reflektometer, ein spektroskopisches Scatterometer, ein Overlay-Scatterometer, ein winkelaufgelöstes Strahlprofilreflektometer, ein polarisationsaufgelöstes Strahlprofilreflektometer, ein Strahlprofilreflektometer, ein Strahlprofilellipsometer, ein beliebiges Einzel- oder Mehrfachwellenlängenellipsometer, oder eine beliebige Kombination davon. Ferner können im Allgemeinen durch unterschiedliche Messtechnologien erfasste und gemäß den hierin beschriebenen Verfahren analysierte Messdaten von mehreren Maschinen anstatt von einer Maschine, die mehrere Technologien integriert, erfasst werden.
  • In einer weiteren Ausführungsform kann das System 400 ein oder mehrere Computersysteme 430 beinhalten, die dazu eingesetzt werden, Messungen auf Grundlage gemessener Spektren und Prozessinformation wie hierin beschrieben durchzuführen. Das eine oder die mehreren Computersysteme 430 können in Kommunikationsverbindung mit dem Spektrometer 404 stehen. In einem Aspekt sind das eine oder die mehreren Computersysteme 430 dazu ausgebildet, Messdaten 411 zu empfangen, die mit Messungen der Struktur des Exemplars 401 assoziiert sind. Das eine oder die mehreren Computersysteme 430 können auch in Kommunikationsverbindung mit einer oder mehreren Prozessmaschinen 420 stehen, die dazu ausgebildet sind, einen vorhergehenden Prozessschritt durchzuführen, der zur Herstellung der vermessenen Probe 401 eingesetzt wird. In einem Aspekt sind das eine oder die mehreren Computersysteme 430 dazu ausgebildet, Prozessinformation 421 zu empfangen, die mit beliebigen der vorhergehenden Prozessschritte, mit Messungen der Struktur des Exemplars 401 bei beliebigen der vorhergehenden Prozessschritte, oder mit einer Kombination davon assoziiert ist.
  • Die verschiedenen in der vorliegenden Offenbarung beschriebenen Schritte können durch ein Einzelcomputersystem 430 oder, alternativ, ein Mehrfachcomputersystem 430 ausgeführt werden. Ferner können unterschiedliche Subsysteme des Systems 400, etwa das spektroskopische Ellipsometer 404, ein Computersystem beinhalten, das geeignet ist, zumindest einen Teil der hierin beschriebenen Schritte durchzuführen. Daher sollte die vorstehende Beschreibung nicht als eine Beschränkung der vorliegenden Erfindung ausgelegt werden, sondern lediglich als eine Erläuterung. Ferner können das eine oder die mehreren Computersysteme 430 dazu ausgebildet sein, (einen) beliebige(n) andere(n) Schritt(e) beliebiger der hierin beschriebenen Verfahrensausführungsformen durchzuführen.
  • Zusätzlich kann das Computersystem 430 in beliebiger bekannter Weise mit dem Spektrometer 404 in Kommunikationsverbindung stehen. Beispielsweise können das eine oder die mehreren Computersysteme 430 mit Computersystemen verbunden sein, die dem Spektrometer 404 zugeordnet sind. In einem anderen Beispiel kann das Spektrometer 404 direkt durch ein einziges Computersystem gesteuert werden, das mit Computersystem 430 verbunden ist.
  • Das Computersystem 430 des Metrologiesystems 400 kann dazu ausgebildet sein, von den Subsystemen des Systems (z.B. Spektrometer 404 und dergleichen) oder von der einen oder den mehreren Prozessmaschinen 420 über ein Übertragungsmedium Daten oder Information zu empfangen und/oder zu erfassen, das drahtgebundene und/oder drahtlose Bereiche beinhalten kann. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Computersystem 430 und anderen Systemen oder Subsystemen des Systems 400 dienen.
  • Das Computersystem 430 des Metrologiesystems 400 kann dazu ausgebildet sein, Daten oder Information (z.B. Messergebnisse, Modelleingaben, Modellergebnisse, etc.) von anderen Systemen über ein Übertragungsmedium zu empfangen und/oder zu erfassen, das drahtgebundene und/oder drahtlose Bereiche beinhalten kann. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Computersystem 430 und anderen Systemen (z.B. Speicher im Metrologiesystem 400, externen Speicher, Prozessmaschinen 420, einer Quelle für Referenzmessungen oder anderen externen Systemen) dienen. Beispielsweise kann das Computersystem 430 dazu ausgebildet sein, Messdaten von einem Speichermedium (d.h. Speicher 432 oder einem externen Speicher) über eine Datenverbindung zu empfangen. Beispielsweise können spektrale Ergebnisse, die unter Verwendung des Spektrometers 404 erhalten wurden, in einem permanenten oder semipermanenten Speichergerät (z.B. Speicher 432 oder einem externen Speicher) gespeichert werden. In dieser Hinsicht können die spektralen Ergebnisse von einem internen Speicher oder von einem externen Speichersystem importiert werden. Ferner kann das Computersystem 430 über ein Transmissionsmedium Daten zu anderen Systemen senden. Beispielsweise können ein Messmodell oder ein Strukturparameterwert 440, die vom Computersystem 430 bestimmt wurden, übertragen und in einem externen Speicher gespeichert werden. In dieser Hinsicht können Messergebnisse zu einem anderen System exportiert werden.
  • Das Computersystem 430 kann, ohne aber darauf beschränkt zu sein, ein Personalcomputer-System, ein Großrechnersystem, eine Workstation, einen Bildrechner, einen Parallelprozessor oder jegliches andere bekannte Gerät beinhalten. Im Allgemeinen kann der Begriff „Computersystem“ breit definiert werden als jedes Gerät umfassend, das einen oder mehrere Prozessoren hat, welche Anweisungen von einem Speichermedium ausführen.
  • Programmanweisungen 434, die Verfahren wie die hierin beschriebenen umsetzen, können über ein Übertragungsmedium, etwa einen Draht, ein Kabel, oder eine drahtlose Übertragungsverbindung übertragen werden. Beispielsweise werden, wie in 8 gezeigt, in Speicher 432 gespeicherte Programmanweisungen 434 über Bus 433 an Prozessor 431 übertragen. Programmanweisungen 434 werden in einem computerlesbaren Medium (z.B. Speicher 432) gespeichert. Beispiele computerlesbarer Medien beinhalten Nur-Lese-Speicher, einen Speicher mit wahlfreiem Zugriff, eine magnetische oder optische Platte, oder ein Magnetband.
  • In einigen Ausführungsformen beinhalten das Beleuchtungslicht und das von der beleuchteten Messstelle erfasste Licht mehrere verschiedene Wellenlängen. In einigen Ausführungsformen wird das Licht von der beleuchteten Messstelle unter mehreren verschiedenen Erfassungswinkeln erfasst. Indem Licht bei mehreren Wellenlängen und Erfassungswinkeln detektiert wird, wird die Messempfindlichkeit gegenüber Pitch-Gang und Schwankungen der kritischen Dimensionen (z.B. CD) verbessert. In einigen Ausführungsformen wird das Licht von der beleuchteten Messstelle unter mehreren verschiedenen Azimutwinkeln erfasst. Diese Messungen außerhalb der Ebene können auch die Messempfindlichkeit gegenüber Pitch-Gang und Schwankungen der kritischen Dimensionen verbessern. In einigen Ausführungsformen ist die Erfassung optischer Messdaten für einen bestimmten Satz Systemeinstellungen, z.B. spektroskopisches oder winkelaufgelöstes System, ein oder mehrere Azimutwinkel, ein oder mehrere Wellenlängen, und eine beliebige Kombination daraus, optimiert.
  • 9 zeigt ein Verfahren 500, das für die Umsetzung durch ein Metrologiesystem, wie etwa das in 8 gezeigte Metrologiesystem 400 der vorliegenden Erfindung, geeignet ist. In einem Aspekt können Datenverarbeitungsblöcke des Verfahrens 500 durch einen vorprogrammierten Algorithmus ausgeführt werden, der durch einen oder durch mehrere Prozessoren des Computersystems 430 ausgeführt wird, oder durch ein beliebiges anderes Universalrechnersystem. Die besonderen strukturellen Aspekte des Metrologiesystems 400 stellen keine Beschränkungen dar und sollten als lediglich erläuternd interpretiert werden.
  • Im Block 501 wird ein Wafer durch ein Metrologiesystem (z.B. Metrologiesystem 400) empfangen. Der Wafer beinhaltet ein oder mehrere Metrologieziele, die durch eine Vielzahl von Prozessschritten eines von einer Vielzahl an Prozessmaschinen ausgeführten Herstellungsprozessverlaufs hergestellt wurden.
  • Im Block 502 wird eine erste Menge an Prozessinformation in einem Computersystem des Metrologiesystems empfangen, von einer ersten Prozessmaschine, die zur Durchführung eines ersten Prozessschrittes der Vielzahl an Prozessschritten auf dem Wafer eingesetzt wird.
  • Im Block 503 wird eine zweite Menge an Prozessinformation in einem Computersystem des Metrologiesystems empfangen, von der ersten Prozessmaschine oder einer anderen Prozessmaschine, die zur Durchführung eines zweiten Prozessschrittes der Vielzahl an Prozessschritten auf dem Wafer eingesetzt wird.
  • Im Block 504 wird eine Menge an Beleuchtungslicht dem einen oder den mehreren Metrologiezielen durch ein Beleuchtungssubsystem des Metrologiesystems zugeführt.
  • Im Block 505 wird eine Menge an Licht von dem einen oder den mehreren Metrologiezielen in Antwort auf die Beleuchtung durch ein Detektorsubsystem des Metrologiesystems detektiert.
  • Im Block 506 werden durch das Detektorsubsystem Messsignale in Antwort auf die Menge an detektiertem Licht erzeugt.
  • Im Block 507 wird ein Wert eines interessierenden Parameters des einen oder der mehreren Metrologieziele geschätzt, auf Grundlage der Messsignale und der ersten und zweiten Menge an Prozessinformation.
  • Im Block 508 wird ein Wert eines mit zumindest einem der Prozessschritte assoziierten korrigierbaren Parameters geschätzt, auf Grundlage der gemessenen Eigenschaft des Metrologiezieles und der ersten und zweiten Menge an Prozessinformation. Zusätzlich wird der Wert des korrigierbaren Parameters an eine Prozessmaschine übermittelt, die zur Durchführung des mindestens einen Prozessschrittes eingesetzt wird.
  • In einigen Beispielen eliminiert die Verwendung von Messdaten, die mit mehreren Zielen assoziiert sind, zur Modellbildung, zum Einlernen und zur Messung die Auswirkung von Unterschichten auf das Messergebnis, oder reduziert diese erheblich. In einem Beispiel werden Messsignale von zwei Zielen abgezogen, um die Auswirkung von Unterschichten in jedem Messergebnis zu eliminieren oder erheblich zu reduzieren. Die Verwendung von Messdaten, die mit mehreren Zielen assoziiert sind, erhöht die Proben- und Prozessinformation, die in das Modell eingebettet sind. Insbesondere ermöglicht die Verwendung von Einlerndaten, die Messungen mehrerer verschiedener Ziele an einer oder mehreren Messstellen beinhalten, genauere Messungen.
  • In einem Beispiel wird aus spektralen Messungen eines DOE-Wafers sowohl für isolierte als auch dichte Ziele ein Messmodell erzeugt. Das Messmodell wird dann auf Grundlage der spektralen Messdaten und bekannter Strukturparameterwerte eingelernt. Die sich ergebenden eingelernten Messmodelle werden anschließend eingesetzt, um Strukturparameterwerte sowohl für isolierte als auch dichte Ziele auf Probenwafern zu berechnen. Auf diese Weise gibt es für jeden Parameter ein eigenes eingelerntes Modell, das den Parameterwert aus den gemessenen Spektren (oder extrahierten Merkmalen), die sowohl mit isolierten als auch dichten Zielen assoziiert sind, berechnet.
  • In einem weiteren Aspekt werden Messdaten, die aus durch eine Kombination mehrerer verschiedener Messtechniken durchgeführten Messungen abgeleitet wurden, für die Modellbildung, das Einlernen und die Messung verwendet. Die Verwendung von Messdaten, die mit mehreren unterschiedlichen Messtechniken assoziiert sind, erhöht die in das Modell eingebettete Proben- und Prozessinformation und ermöglicht genauere Messungen. Messdaten können aus Messungen abgeleitet werden, die durch eine beliebige Kombination mehrerer verschiedener Messtechniken durchgeführt wurden. Auf diese Weise können unterschiedliche Messstellen durch mehrere verschiedene Messtechniken gemessen werden, um die Messinformation zu erhöhen, die für die Charakterisierung der Halbleiterstrukturen verfügbar ist.
  • Im Rahmen dieses Patentdokuments kann allgemein jede Messtechnik oder Kombination von zwei oder mehr Messtechniken in Betracht gezogen werden. Beispiele von Messtechniken beinhalten, ohne aber darauf beschränkt zu sein, spektroskopische Ellipsometrie, darunter Mueller-Matrix-Ellipsometrie, spektroskopische Reflektometrie, spektroskopische Scatterometrie, Scatterometrie-Overlay, Strahlprofilreflektometrie, sowoehl winkelaufgelöst als auch polarisationsaufgelöst, Strahlprofilellipsometrie, Ellipsometrie mit einer oder mehreren diskreten Wellenlängen, Transmissions-Kleinwinkel-Röntgenscatterometer (TSAXS), Kleinwinkel-Röntgenstreuung (SAXS), Kleinwinkel-Röntgenstreuung bei streifendem Einfall (GISAXS), Röntgenstreuung bei großem Winkel (WAXS), Röntgereflektivität (XRR), Röntgenbeugung (XRD), Röntgenbeugung bei streifendem Einfall (GIXRD), hochaufgelöste Röntgenbeugung (HRXRD), Röntgenphotoelektronenspektroskopie (XPS), Röntgenfluoreszenz (XRF), Röntgenfluoreszenz bei streifendem Einfall (GIXRF), Scatterometrie mit durch niederenergetische Elektronen ausgelöster Röntgenemission (LEXES), Röntgentomographie und Röntgenellipsometrie. Allgemein kann jede Metrologietechnik in Betracht gezogen werden, die auf die Charakterisierung von Halbleiterstrukturen anwendbar ist, darunter bildbasierte Metrologietechniken. Zusätzliche Sensoroptionen beinhalten elektrische Sensoren, etwa berührungslose Kapazitäts-/Spannungs- oder Strom-/Spannungs-Sensoren, welche das Bauelement vorbelasten und die sich ergebende Vorlast mit einem optischen Sensor detektieren (oder umgekehrt), oder unterstützte optische Techniken, etwa XRD, XRF, XPS, LEXES, SAXS und Pump-Probe-Techniken. In einer Ausführungsform kann ein zweidimensionales Strahlprofilreflektometer (Pupillenabbilder) verwendet werden, um sowohl winkelaufgelöste als auch / oder multispektrale Daten in einem kleinen Messfleck zu erfassen. Auch kann ein UV-Linnik-Interferometer als ein spektraler Mueller-Matrix-Pupillenabbilder verwendet werden.
  • In einigen Beispielen werden die hierin beschriebenen Verfahren zur Modellbildung, zum Einlernen und zur Messung als ein Element eines optischen Metrologiesystems für die kritische Dimension SpectraShape®, erhältlich von KLA-Tencor Corporation, Milpitas, Kalifornien, USA, implementiert werden. Auf diese Weise ist das Modell erzeugt und einsatzbereit unmittelbar nachdem die DOE-Waferspektren von dem System erfasst worden sind.
  • In einigen anderen Beispielen werden die hierin beschriebenen Verfahren zur Modellbildung und zum Einlernen off-line implementiert, beispielsweise durch ein Computersystem, das die AcuShape®-Software, erhältlich von KLA-Tencor Corporation, Milpitas, Kalifornien, USA implementiert. Das sich ergebende eingelernte Modell kann als ein Element in eine AcuShape®-Bibliothek aufgenommen werden, die einem Messungen durchführenden Metrologiesystem zugänglich ist.
  • In einem anderen Beispiel können die hierin beschriebenen Verfahren und Systeme auf Overlay-Metrologie angewandt werden. Gittermessungen sind für die Overlay-Messung besonders relevant. Das Ziel von Overlay-Metrologie ist es, Verschiebungen zwischen verschiedenen lithographischen Belichtungsschritten zu bestimmen. Die Durchführung von Overlay-Metrologie an Bauelementen ist schwierig wegen der geringen Größe der Bauelementstrukturen und dem gemeinhin kleinen Wert des Overlays.
  • Beispielsweise variiert der Pitch typischer Schreibzeilenoverlaymetrologiestrukturen zwischen 200 Nanometern und 2000 Nanometern. Aber der Pitch von Bauelementoverlaymetrologiestrukturen ist gewöhnlich 100 Nanometer oder darunter. Außerdem ist in einer nominellen Produktionsumgebung der Bauelement-Overlay lediglich ein kleiner Bruchteil der Periodizität der Bauelementstruktur. Im Gegensatz dazu sind Stellvertreter-Metrologiestrukturen, die beim scatterometrischen Overlay verwendet werden, häufig um größere Werte verschoben, z.B. ein Viertel des Pitch, um die Signalempfindlichkeit gegenüber dem Overlay zu erhöhen.
  • Unter diesen Bedingungen wird Overlay-Metrologie mit Sensorarchitekturen durchgeführt, die hinreichende Empfindlichkeit auf Overlay mit geringer Verschiebung und geringem Pitch haben. Die hierin beschriebenen Verfahren und Systeme können eingesetzt werden, um ein Messsignal zu erhalten, das empfindlich gegenüber Overlay ist, auf Grundlage von Bauelementstrukturen, StellvertreterStrukturen oder beidem.
  • Nach der Erfassung werden die gemessenen Signale analysiert, um auf Grundlage von Veränderungen in den gemessenen Signalen den Overlayfehler zu bestimmen. In einem weiteren Aspekt werden die spektralen oder winkelaufgelösten Daten unter Verwendung von PCA analysiert, und ein Overlay-Modell wird eingelernt, um den Overlay auf Grundlage der in dem Messsignal detektierten Hauptkomponenten zu bestimmen. In einem Beispiel ist das Overlay-Modell ein neuronales Netzwerkmodell. In diesem Sinn ist das Overlay-Modell kein parametrisches Modell, und ist damit nicht anfällig für Fehler, die durch ungenaue Modellannahmen eingeführt wurden.
  • In einigen Ausführungsformen basiert das Einlernen des Overlay-Metrologiemodells auf Messungen eigener Metrologiestrukturen, welche nominell zu den Bauelementstrukturen identisch sind, aber größere Verschiebungen aufweisen. Dies kann bei der Überwindung des Empfindlichkeitsproblems helfen. Diese Verschiebungen können durch feste Designverschiebungen eingeführt werden, die zwischen Strukturen in den beiden zu vermessenden Schichten während des Retikeldesigns eingeführt wurden. Die Verschiebungen können auch durch Verschiebungen bei der Lithographiebelichtung eingeführt werden. Der OverlayFehler kann effizienter aus dem komprimierten Signal (z.B. PCA-Signal) extrahiert werden, indem mehrere verschobene Ziele (z.B. Pitch/4 und -Pitch/4) verwendet werden, und die Auswirkung der Unterschicht kann ebenfalls reduziert werden.
  • Im Allgemeinen können die hierin gezeigten Verfahren und Systeme zur Durchführung von Halbleitermetrologie direkt auf tatsächliche Bauelementstrukturen oder auf eigene Metrologieziele (z.B. Stellvertreterstrukturen) angewendet werden, die in einem Die oder innerhalb einer Schreibzeile befindlich sind.
  • In noch einem anderen Aspekt können die hierin beschriebenen Messtechniken verwendet werden, um eine aktive Rückmeldung an eine Prozessmaschine (z.B. Lithographiemaschine, Ätzmaschine, Abscheidungsmaschine, etc.) zu geben. Beispielsweise können Werte der Strukturparameter, die unter Verwendung der hierin beschriebenen Verfahren bestimmt wurden, an eine Lithographiemaschine kommuniziert werden, um das Lithographiesystem anzupassen, um eine gewünschte Ausgabe zu erzielen. In einer ähnlichen Weise können Ätzparameter (z.B. Ätzzeit, Diffusivität, etc.) oder Abscheidungsparameter (z.B. Zeit, Konzentration, etc.) in ein Messmodell aufgenommen werden, um aktive Rückmeldung an Ätzmaschinen bzw. Abscheidungsmaschinen zu geben.
  • Im Allgemeinen können die hierin beschriebenen Systeme und Verfahren als ein Teil einer eigenen Metrologiemaschine eingesetzt werden oder alternativ als Teil einer Prozessmaschine (z.B. einer Lithographiemaschine, Ätzmaschine, etc.).
  • Wie hierin beschrieben beinhaltet der Begriff „kritische Dimension“ jegliche beliebige kritische Dimension einer Struktur (z.B. untere kritische Dimension, mittlere kritische Dimension, obere kritische Dimension, Böschungswinkel, Gitterhöhe, etc.), eine kritische Dimension zwischen beliebigen zwei oder mehr Strukturen (z.B. Abstand zwischen zwei Strukturen), und eine Verschiebung zwischen zwei oder mehr Strukturen (z.B. Overlay-Verschiebung zwischen sich überlagernden Gitterstrukturen, etc.). Strukturen können dreidimensionale Strukturen, gemusterte Strukturen, Overlay-Strukturen, etc. beinhalten.
  • Wie hierin beschrieben beinhalten die Begriffe „Kritische-Dimensions-Anwendung“ oder „Kritische-Dimensions-Messanwendung“ jegliche Messung der kritischen Dimension.
  • Wie hierin beschrieben beinhaltet der Begriff „Metrologiesystem“ jegliches System, das zumindest zum Teil dazu eingesetzt wird, ein Exemplar in einer beliebigen Hinsicht zu charakterisieren, einschließlich Messanwendungen wie Kritische-Dimensions-Metrologie, Overlay-Metrologie, Fokus/Dosis-Metrologie und Zusammensetzungs-Metrologie. Jedoch schränken solche Fachbegriffe die Breite des Begriffes „Metrologiesystem“ wie hierin beschrieben nicht ein. Zusätzlich kann das Metrologiesystem 400 ausgebildet sein zur Messung von strukturierten und/oder unstrukturierten Wafern. Das Metrologiesystem kann als eine LED-Inspektionsmaschine, eine Randinspektionsmaschine, eine Rückseiteninspektionsmaschine, eine Makroinspektionsmaschine oder als eine Multi-Modus-Inspektionsmaschine (welche Daten von einer oder mehreren Plattformen gleichzeitig involviert) und als eine beliebige andere Metrologie- oder Inspektionsmaschine, die von der Kalibrierung von Systemparametern auf Grundlage von Daten zur kritischen Dimension profitiert, ausgebildet sein.
  • Hierin werden verschiedene Ausführungsformen für ein Halbleiterverarbeitungssystem (z.B. ein Inspektionssystem oder ein Lithographiesystem) beschrieben, die zur Verarbeitung eines Exemplars verwendet werden können. Der Begriff „Exemplar“ wird hierin verwendet, um sich auf einen Wafer, ein Retikel, oder irgendeine andere Probe zu beziehen, die durch bekannte Mittel verarbeitet (z.B. strukturiert oder auf Defekte inspiziert) werden kann.
  • Wie hierin verwendet bezieht sich der Begriff „Wafer“ allgemein auf Substrate, die aus einem Halbleitermaterial oder einem Nicht-Halbleitermaterial gebildet sind. Beispiele beinhalten, ohne aber darauf beschränkt zu sein, einkristallines Silizium, Galliumarsenid und Indiumphosphid. Solche Substrate werden allgemein in Halbleiterherstellungseinrichtungen angetroffen und/oder verarbeitet. In einigen Fällen kann ein Wafer lediglich das Substrat beinhalten (d.h. blanker Wafer). Alternativ kann ein Wafer eine oder mehrere Schichten aus unterschiedlichem Material beinhalten, die auf einem Substrat ausgebildet sind. Ein oder mehrere auf einem Wafer ausgebildete Schichten können „strukturiert“ oder „unstrukturiert“ sein. Beispielsweise kann ein Wafer eine Vielzahl von Dies beinhalten, die wiederholbare Strukturmerkmale aufweisen.
  • Ein „Retikel“ kann ein Retikel in jedem Stadium eines Retikelherstellungsprozesses sein, oder ein vollständiges Retikel, das zur Verwendung in einer Halbleiterherstellungseinrichtung freigegeben wird oder nicht. Ein Retikel oder eine „Maske“ ist allgemein definiert als ein im Wesentlichen transparentes Substrat mit darauf ausgebildeten im Wesentlichen opaken Bereichen, die in einem Muster angeordnet sind. Das Substrat kann zum Beispiel ein Glasmaterial, etwa amorphes SiO2, beinhalten. Ein Retikel kann während eines Belichtungsschritts eines Lithographieprozesses über einem mit Photolack bedeckten Wafer angeordnet werden, so dass das Muster auf dem Retikel auf den Photolack übertragen werden kann.
  • Eine oder mehrere der auf einem Wafer ausgebildeten Schichten können strukturiert oder unstrukturiert sein. Beispielsweise kann ein Wafer eine Vielzahl an Dies beinhalten, von denen jeder wiederholbare Strukturmerkmale aufweist. Die Ausbildung und Verarbeitung solcher Materialschichten kann letztlich zu vollständigen Bauelementen führen. Viele unterschiedliche Arten von Bauelementen können auf einem Wafer ausgebildet werden, und der Begriff Wafer, wie er hierin verwendet wird, soll einen Wafer umfassen, auf dem eine beliebige bekannte Art eines Bauelements hergestellt wird.
  • In einer oder mehreren beispielhaften Ausführungsformen können die hierin beschriebenen Funktionen in Hardware, Software, Firmware oder jeder Kombination daraus umgesetzt werden. Erfolgt die Umsetzung in Software, so können die Funktionen als eine oder mehrere Instruktionen oder Code auf einem computerlesbaren Medium gespeichert oder über ein computerlesbares Medium übertragen werden. Computerlesbare Medien beinhalten sowohl Computerspeichermedien als auch Kommunikationsmedien, darunter jegliches Medium, das die Übertragung eines Computerprogramms von einem Ort zu einem anderen ermöglicht. Ein Speichermedium kann jegliches verfügbare Medium sein, auf das von einem Universalrechner oder Spezialrechner zugegriffen werden kann. Als nichteinschränkende Beispiele können solche computerlesbaren Medien RAM, ROM, EEPROM, CD-ROM oder einen anderen optischen Plattenspeicher, einen magnetischen Plattenspeicher oder andere magnetische Speichergeräte, oder jegliches andere Medium, das verwendet werden kann, gewünschte Programmcodemittel in Form von Instruktionen oder Datenstrukturen zu tragen oder zu speichern, und auf das von einem Universalrechner oder Spezialrechner, oder von einem Universalprozessor oder Spezialprozessor zugegriffen werden kann, umfassen. Ebenso wird jede Verbindung korrekt als computerlesbares Medium bezeichnet. Falls die Software beispielsweise von einer Website, einem Server oder einer anderen entfernten Quelle übertragen wird unter Verwendung eines Koaxialkabels, eines Glasfaserkabels, verdrillter Adernpaare, von Digital Subscriber Line (DSL), oder von drahtlosen Technologien wie Infrarot, Funk, und Mikrowelle, dann sind das Koaxialkabel, das Glasfaserkabel, die verdrillten Adernpaare, DSL oder die drahtlosen Technologien wie Infrarot, Funk und Mikrowelle in die Definition von Medium eingeschlossen. Disk und Disc, wie hierin verwendet, beinhalten Compact Disc (CD), Laserdisc, optische Disc, Digital Versatile Disc (DVD), Floppy Disk und Blu-Ray-Disc, wobei „Disks“ Daten gemeinhin magnetisch wiedergeben, während „Discs“ Daten optisch mit Lasern wiedergeben. Kombinationen des Obigen sollen ebenfalls in die Bedeutung von computerlesbaren Medien eingeschlossen werden.
  • Obwohl vorstehend bestimmte Ausführungsformen zum Zwecke der Unterrichtung beschrieben werden, ist die Lehre dieses Patentdokuments allgemein anwendbar und ist nicht auf die spezifischen oben beschriebenen Ausführungsformen beschränkt. Dementsprechend können verschiedene Modifikationen, Anpassungen und Kombinationen verschiedener Merkmale der beschriebenen Ausführungsformen vorgenommen werden, ohne vom in den Ansprüchen festgelegten Gegenstand der Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62318166 [0001]
    • US 8142966 [0056]
    • US 8843875 [0074]
    • US 2014/0297211 [0074]
    • US 2014/0316730 [0074]
    • US 2014/0172394 [0074]
    • US 2015/0042984 [0074]
    • US 2015/0046118 [0074]
    • US 2015/0235108 [0074]
    • US 2016/0109230 [0074]
    • US 2015/0323471 [0074]

Claims (20)

  1. Metrologiesystem umfassend: ein Beleuchtungssubsystem, das eine Menge an Beleuchtungslicht für ein oder mehrere Metrologieziele bereitstellt, die auf einem Wafer angeordnet sind, der zuvor durch eine Vielzahl von Prozessschritten eines Herstellungsprozessverlaufs bearbeitet worden ist; ein Detektorsubsystem, das eine Menge an Licht von dem einen oder den mehreren Metrologiezielen in Antwort auf die Menge an Beleuchtungslicht detektiert und eine Menge an Messsignalen in Antwort auf die Menge an detektiertem Licht generiert; und ein Computersystem, ausgebildet zum Empfangen einer ersten Menge an Prozessinformation von einer ersten Prozessmaschine, die eingesetzt wird, einen ersten Prozessschritt der Vielzahl der Prozessschritte auf dem Wafer auszuführen; Empfangen einer zweiten Menge an Prozessinformation von der ersten Prozessmaschine oder einer anderen Prozessmaschine, die eingesetzt wird, einen zweiten Prozessschritt der Vielzahl der Prozessschritte auf dem Wafer auszuführen; und Schätzen eines Werts eines interessierenden Strukturparameters des einen oder der mehreren Metrologieziele auf Grundlage der Menge an Messsignalen und der ersten und zweiten Menge an Prozessinformation.
  2. Metrologiesystem nach Anspruch 1, wobei das Computersystem ferner ausgebildet ist zum: Schätzen eines Werts eines korrigierbaren Parameters, der mit zumindest einem der Prozessschritte verbunden ist, auf Grundlage der gemessenen Eigenschaft des Metrologiezieles und der ersten und zweiten Menge an Prozessinformation; und Kommunizieren des Wertes des korrigierbaren Parameters an mindestens eine Prozessmaschine, die eingesetzt wird, den mindestens einen Prozessschritt auszuführen.
  3. Metrologiesystem nach Anspruch 1, wobei die erste Menge an Prozessinformation eine der folgenden Angaben beinhaltet: einen Prozesssteuerungsparameter, einen Einstellungsparameter für eine Prozessmaschine, einen Umgebungsparameter für einen Prozess, eine Menge an Prozessdaten, die von Sensoren in der ersten Prozessmaschine erfasst wurden, und eine Menge an Metrologiedaten, die von Sensoren in der ersten Prozessmaschine erfasst wurden.
  4. Metrologiesystem nach Anspruch 1, wobei die erste Menge an Prozessinformation einen Parameterwert für den Lithographiefokus, einen Parameterwert für die Lithographiedosis oder eine Kombination davon beinhaltet.
  5. Metrologiesystem nach Anspruch 1, wobei das Schätzen des Wertes des interessierenden Parameters des einen oder der mehreren Metrologieziele ein physikalisches Modell oder ein eingelerntes Eingabe-Ausgabe-Messmodell involviert.
  6. Metrologiesystem nach Anspruch 5, wobei das Computersystem ferner ausgebildet ist zum: Einlernen des Eingabe-Ausgabe-Messmodells mit simulierten Messdaten und simulierter Prozessinformation, tatsächlichen Messdaten und tatsächlicher Prozessinformation, die mit einem Design-Of-Experiments-(DOE)-Wafer assoziiert sind, oder einer Kombination daraus.
  7. Metrologiesystem nach Anspruch 1, wobei das Computersystem ferner ausgebildet ist zum: Reduzieren einer Dimension der ersten und der zweiten Menge an Prozessinformation, der Menge an Messsignalen oder einer Kombination daraus.
  8. Metrologiesystem nach Anspruch 1, wobei der Detektor dazu ausgebildet ist, Licht von der Zielstruktur bei mehreren Wellenlängen, mehreren Erfassungswinkeln, oder einer Kombination aus mehreren Wellenlängen und mehreren Erfassungswinkeln zu erfassen.
  9. Metrologiesystem, umfassend: ein Beleuchtungssubsystem, das eine Menge an Beleuchtungslicht für ein oder mehrere Metrologieziele bereitstellt, die auf einem Wafer angeordnet sind, der zuvor durch eine Vielzahl von Prozessschritten eines Herstellungsprozessverlaufs bearbeitet worden ist; ein Detektorsubsystem, das eine Menge an Licht von dem einen oder den mehreren Metrologiezielen in Antwort auf die Menge an Beleuchtungslicht detektiert und eine Menge an Messsignalen in Antwort auf die Menge an detektiertem Licht generiert; und ein nicht-flüchtiges computerlesbares Medium, umfassend: Code um ein Computersystem zu veranlassen zum Empfangen einer ersten Menge an Prozessinformation von einer ersten Prozessmaschine, die eingesetzt wird, einen ersten Prozessschritt der Vielzahl der Prozessschritte auf dem Wafer auszuführen; Code um das Computersystem zu veranlassen zum Empfangen einer zweiten Menge an Prozessinformation von der ersten Prozessmaschine oder einer anderen Prozessmaschine, die eingesetzt wird, einen zweiten Prozessschritt der Vielzahl der Prozessschritte auf dem Wafer auszuführen; und Code um das Computersystem zu veranlassen zum Schätzen eines Werts eines interessierenden Strukturparameters des einen oder der mehreren Metrologieziele auf Grundlage der Menge an Messsignalen und der ersten und zweiten Menge an Prozessinformation.
  10. Metrologiesystem nach Anspruch 9, wobei das nicht-flüchtige computerlesbare Medium ferner umfasst: Code um das Computersystem zu veranlassen zum Schätzen eines Werts eines korrigierbaren Parameters, der mit zumindest einem der Prozessschritte verbunden ist, auf Grundlage der gemessenen Eigenschaft des Metrologiezieles und der ersten und zweiten Menge an Prozessinformation; und Code um das Computersystem zu veranlassen zum Kommunizieren des Wertes des korrigierbaren Parameters an mindestens eine Prozessmaschine, die eingesetzt wird, den mindestens einen Prozessschritt auszuführen.
  11. Verfahren umfassend: Empfangen eines Wafers, der ein oder mehrere Metrologieziele beinhaltet, die von einer Vielzahl an Prozessschritten eines Herstellungsprozessverlaufs hergestellt wurden, welcher durch eine Vielzahl an Prozessmaschinen durchgeführt wurde; Empfangen einer ersten Menge an Prozessinformation von einer ersten Prozessmaschine, die eingesetzt wird, einen ersten Prozessschritt der Vielzahl der Prozessschritte auf dem Wafer auszuführen; Empfangen einer zweiten Menge an Prozessinformation von der ersten Prozessmaschine oder einer anderen Prozessmaschine, die eingesetzt wird, einen zweiten Prozessschritt der Vielzahl der Prozessschritte auf dem Wafer auszuführen; Bereitstellen einer Menge an Beleuchtungslicht für das eine oder die mehreren Metrologieziele; Detektieren einer Menge an Licht von dem einen oder den mehreren Metrologiezielen in Antwort auf die Beleuchtung; Erzeugen einer Menge an Messsignalen in Antwort auf die Menge an detektiertem Licht; Schätzen eines Werts eines interessierenden Parameters des einen oder der mehreren Metrologieziele auf Grundlage der Menge an Messsignalen und der ersten und zweiten Menge an Prozessinformation.
  12. Verfahren nach Anspruch 11, ferner umfassend: Schätzen eines Werts eines korrigierbaren Parameters, der mit zumindest einem der Prozessschritte verbunden ist, auf Grundlage der gemessenen Eigenschaft des Metrologiezieles und der ersten und zweiten Menge an Prozessinformation; und Kommunizieren des Wertes des korrigierbaren Parameters an mindestens eine Prozessmaschine, die eingesetzt wird, den mindestens einen Prozessschritt auszuführen.
  13. Verfahren nach Anspruch 11, wobei die Vielzahl an in den Herstellungsprozessverlauf involvierten Prozessmaschinen mindestens eine Lithographiemaschine und mindestens eine Ätzmaschine beinhaltet.
  14. Verfahren nach Anspruch 11, wobei die erste und/oder zweite Menge an Prozessinformation einen Prozessparameterwert beinhaltet.
  15. Verfahren nach Anspruch 14, wobei der Prozessparameterwert ein Lithographiefokusparameterwert, ein Lithographiedosisparameterwert oder eine Kombination daraus ist.
  16. Verfahren nach Anspruch 11, wobei die erste und/oder zweite Menge an Prozessinformation eine Eigenschaft des Metrologiezieles beinhaltet, das von einem Metrologiesystem in der ersten Prozessmaschine gemessen wird.
  17. Verfahren nach Anspruch 11, wobei das Schätzen des Wertes des interessierenden Parameters des einen oder der mehreren Metrologieziele ein physikalisches Modell oder ein eingelerntes Eingabe-Ausgabe-Messmodell involviert.
  18. Verfahren nach Anspruch 17, ferner umfassend: Einlernen des Eingabe-Ausgabe-Messmodells mit simulierten Messdaten und simulierter Prozessinformation, tatsächlichen Messdaten und tatsächlicher Prozessinformation, die mit einem Design-Of-Experiments-(DOE)-Wafer assoziiert sind, oder einer Kombination daraus.
  19. Verfahren nach Anspruch 11, ferner umfassend: Reduzieren einer Dimension der ersten und der zweiten Menge an Prozessinformation, der Menge an Messsignalen oder einer Kombination daraus.
  20. Verfahren nach Anspruch 11, wobei das eine oder die mehreren Metrologieziele ein nominelles Metrologieziel und mindestens ein Hilfs-Metrologieziel beinhalten, wobei sowohl das nominelle Metrologieziel als auch das mindestens eine Hilfs-Metrologieziel jeweils durch mindestens einen interessierenden Parameter charakterisiert sind, der von mindestens zwei Strukturierungsschritten eines mehrfachen Strukturierungsprozesses erzeugt wurde.
DE112017001846.3T 2016-04-04 2017-04-03 Halbleitermetrologie mit Information von mehreren Prozessschritten Pending DE112017001846T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662318166P 2016-04-04 2016-04-04
US62/318,166 2016-04-04
US15/476,683 US10504759B2 (en) 2016-04-04 2017-03-31 Semiconductor metrology with information from multiple processing steps
US15/476,683 2017-03-31
PCT/US2017/025757 WO2017176637A1 (en) 2016-04-04 2017-04-03 Semiconductor metrology with information from multiple processing steps

Publications (1)

Publication Number Publication Date
DE112017001846T5 true DE112017001846T5 (de) 2018-12-27

Family

ID=59959935

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017001846.3T Pending DE112017001846T5 (de) 2016-04-04 2017-04-03 Halbleitermetrologie mit Information von mehreren Prozessschritten

Country Status (6)

Country Link
US (1) US10504759B2 (de)
KR (1) KR102274476B1 (de)
CN (1) CN108886006B (de)
DE (1) DE112017001846T5 (de)
TW (1) TWI755386B (de)
WO (1) WO2017176637A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3290911A1 (de) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Verfahren und system zur überwachung einer prozessvorrichtung
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
KR102666904B1 (ko) 2018-03-13 2024-05-20 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리의 모니터링을 위한 기계 학습 시스템들
CN110660702B (zh) * 2018-06-29 2022-11-29 台湾积体电路制造股份有限公司 覆盖管理方法、覆盖管理***及计算***
US11199505B2 (en) 2018-08-23 2021-12-14 International Business Machines Corporation Machine learning enhanced optical-based screening for in-line wafer testing
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
WO2020142301A1 (en) * 2019-01-02 2020-07-09 Kla Corporation Machine learning for metrology measurements
US11436506B2 (en) * 2019-03-06 2022-09-06 Carl Zeiss Smt Gmbh Method and devices for determining metrology sites
US11990380B2 (en) * 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11568101B2 (en) * 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
EP4016186A1 (de) * 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrologieverfahren zur messung eines geätzten grabens und zugehörige metrologievorrichtung
US20230160960A1 (en) * 2021-11-22 2023-05-25 Onto Innovation Semiconductor substrate yield prediction based on spectra data from multiple substrate dies
US20230259035A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Characterization of photosensitive materials

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8142966B2 (en) 2008-05-21 2012-03-27 Kla-Tenor Corporation Substrate matrix to decouple tool and process effects
US20140172394A1 (en) 2012-12-18 2014-06-19 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US20140297211A1 (en) 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology
US20140316730A1 (en) 2013-04-19 2014-10-23 Kla-Tencor Corporation On-device metrology
US20150042984A1 (en) 2013-08-10 2015-02-12 Kla-Tencor Corporation Methods and apparatus for determining focus
US20150046118A1 (en) 2013-08-11 2015-02-12 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US20150235108A1 (en) 2014-02-20 2015-08-20 Kla-Tencor Corporation Signal Response Metrology For Image Based Overlay Measurements
US20150323471A1 (en) 2014-05-12 2015-11-12 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US20160109230A1 (en) 2014-10-16 2016-04-21 Kla-Tencor Corporation Metrology Of Multiple Patterning Processes

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6829559B2 (en) 2000-09-20 2004-12-07 K.L.A.-Tencor Technologies Methods and systems for determining a presence of macro and micro defects on a specimen
US20020177245A1 (en) * 2001-03-29 2002-11-28 Sonderman Thomas J. Method and apparatus for controlling feature critical dimensions based on scatterometry derived profile
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7092096B2 (en) * 2004-02-20 2006-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical scatterometry method of sidewall spacer analysis
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7639375B2 (en) 2006-12-14 2009-12-29 Tokyo Electron Limited Determining transmittance of a photomask using optical metrology
US7327475B1 (en) * 2006-12-15 2008-02-05 Tokyo Electron Limited Measuring a process parameter of a semiconductor fabrication process using optical metrology
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
JP2011027461A (ja) * 2009-07-22 2011-02-10 Renesas Electronics Corp パターン形状計測方法、半導体装置の製造方法、およびプロセス制御システム
CN201502829U (zh) * 2009-09-28 2010-06-09 江苏亿丰机械制造有限公司 免维护双向旋转补偿器
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20150017698A1 (en) * 2012-03-02 2015-01-15 Codexis, Inc. a corporation Recombinant host cells and processes for producing 1,3-butadiene through a 5-hydroxypent-3-enoate intermediate
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10466596B2 (en) 2014-02-21 2019-11-05 Kla-Tencor Corporation System and method for field-by-field overlay process control using measured and estimated field parameters

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8142966B2 (en) 2008-05-21 2012-03-27 Kla-Tenor Corporation Substrate matrix to decouple tool and process effects
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US20140172394A1 (en) 2012-12-18 2014-06-19 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US20140297211A1 (en) 2013-03-27 2014-10-02 Kla-Tencor Corporation Statistical model-based metrology
US20140316730A1 (en) 2013-04-19 2014-10-23 Kla-Tencor Corporation On-device metrology
US20150042984A1 (en) 2013-08-10 2015-02-12 Kla-Tencor Corporation Methods and apparatus for determining focus
US20150046118A1 (en) 2013-08-11 2015-02-12 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US20150235108A1 (en) 2014-02-20 2015-08-20 Kla-Tencor Corporation Signal Response Metrology For Image Based Overlay Measurements
US20150323471A1 (en) 2014-05-12 2015-11-12 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US20160109230A1 (en) 2014-10-16 2016-04-21 Kla-Tencor Corporation Metrology Of Multiple Patterning Processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten

Also Published As

Publication number Publication date
CN108886006A (zh) 2018-11-23
KR20180123162A (ko) 2018-11-14
US20170287751A1 (en) 2017-10-05
TW201801219A (zh) 2018-01-01
WO2017176637A1 (en) 2017-10-12
CN108886006B (zh) 2023-07-07
TWI755386B (zh) 2022-02-21
US10504759B2 (en) 2019-12-10
KR102274476B1 (ko) 2021-07-06

Similar Documents

Publication Publication Date Title
DE112017001846T5 (de) Halbleitermetrologie mit Information von mehreren Prozessschritten
JP6983944B2 (ja) 画像ベースの測定のための方法および測定システム
KR102035377B1 (ko) 온-디바이스 계측
US10215559B2 (en) Metrology of multiple patterning processes
CN107533995B (zh) 以模型为基础的热点监测
DE102005056916B4 (de) Verfahren zum Gestalten einer Überlagerungs-Markierung
DE112018005533T5 (de) Detektion und messung der dimensionen asymmetrischer strukturen
DE112016001982T5 (de) Recheneffiziente auf röntgenstrahlgestützte messung des overlays
JP6924261B2 (ja) パターニングされたウェハの特性評価のためのハイブリッド計量
DE112013003491T5 (de) Modellbildungs- und Analyse-Maschine für eine kombinierte auf Röntgenstrahlung und optisch basierte Metrologie
TW201448081A (zh) 以統計模型爲基礎的計量學
DE112017002291T5 (de) Messung der kritischen dimension mittels gasförmiger adsorption
DE112016004012T5 (de) Techniken und systeme für modellbasierte messungen der kritischen dimension
DE112020002023T5 (de) Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
DE102016223967A1 (de) Systeme und Verfahren zum Charakterisieren einer verfahrensinduzierten Waferform für die Prozesskontrolle unter Verwendung von CGS-Interferometrie
DE102013213785A1 (de) Verfahren und System zur Bestimmung von Überlappungsprozessfenstern in Halbleitern durch Inspektionstechniken
WO2019101646A1 (de) Verfahren zur qualifizierung einer maske für die mikrolithographie
DE112018002123T5 (de) Bestimmen einer einheitlichkeit einer wirksamen dosis bei einer lithographie
DE102004010363A1 (de) Verfahren und Meßgerät zur Bestimmung einer örtlichen Variation des Reflektions- oder Transmissionsverhaltens über die Oberfläche einer Maske
DE102004022595B4 (de) Verfahren und System zum Erkennen der Qualität einer alternierenden Phasenschiebermaske
WO2021104631A1 (de) Vorrichtung und verfahren zur vermessung eines substrats
US20240186191A1 (en) Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps
DE102006034776B3 (de) Verfahren und Messvorrichtung zur ellipsometrischen Vermessung von Strukturelementen sowie Verwendung des Verfahrens

Legal Events

Date Code Title Description
R012 Request for examination validly filed