DE112020002023T5 - Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung - Google Patents

Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung Download PDF

Info

Publication number
DE112020002023T5
DE112020002023T5 DE112020002023.1T DE112020002023T DE112020002023T5 DE 112020002023 T5 DE112020002023 T5 DE 112020002023T5 DE 112020002023 T DE112020002023 T DE 112020002023T DE 112020002023 T5 DE112020002023 T5 DE 112020002023T5
Authority
DE
Germany
Prior art keywords
measurement data
measurement
process step
semiconductor structure
ray
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112020002023.1T
Other languages
English (en)
Inventor
Christopher Liman
Antonio Gellineau
Andrei Shchegrov
Sungchul Yoo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of DE112020002023T5 publication Critical patent/DE112020002023T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

Es werden Verfahren und Systeme zur Vermessung einer komplexen Halbleiterstruktur auf Basis von Messdaten vor und nach einem kritischen Prozessschritt vorgestellt. Bei einigen Ausführungsformen basiert die Messung auf Röntgen-Scatterometrie-Messdaten. Gemäß einem Aspekt basiert die Messung auf der Anpassung kombinierter Messdaten an ein vereinfachtes geometrisches Modell der gemessenen Struktur. Bei einigen Ausführungsformen werden die kombinierten Messdaten durch Subtraktion eines gemessenen Beugungsmusters vor dem kritischen Prozessschritt von einem gemessenen Beugungsmuster nach dem kritischen Prozessschritt bestimmt. Bei einigen Ausführungsformen umfasst das vereinfachte geometrische Modell nur die Merkmale, die von dem kritischen Prozessschritt betroffen sind. Gemäß einem anderen Aspekt basiert eine Messung auf einem kombinierten Datensatz und einem trainierten SRM-Modell (Signal Response Metrology). Gemäß einem anderen Aspekt basiert eine Messung auf tatsächlichen Messdaten nach dem kritischen Prozessschritt und simulierten Messdaten vor dem kritischen Prozessschritt.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der am 19. April 2019 eingereichten vorläufigen Patentanmeldung US 62/836,261 , deren Offenbarung hiermit durch Bezugnahme aufgenommen wird.
  • TECHNISCHES GEBIET
  • Diese Offenbarung und die beschriebenen Ausführungsformen beziehen sich auf Metrologie-Systeme und -verfahren und insbesondere auf Verfahren und Systeme zur verbesserten Messung von Parametern, die die Abmessungen von Halbleiterstrukturen charakterisieren.
  • HINTERGRUND DER OFFENLEGUNG
  • Halbleiterbauelemente, wie beispielsweise Logik- und Speicherbauelemente, werden typischerweise durch eine Abfolge von Bearbeitungsschritten hergestellt, die auf eine Probe angewendet werden. Die verschiedenen Merkmale und mehreren Strukturebenen der Halbleiterbauelemente werden durch diese Bearbeitungsschritte gebildet. So ist beispielsweise die Lithografie unter anderem ein Halbleiterherstellungsprozess, bei dem ein Muster auf einem Halbleiterwafer erzeugt wird. Weitere Beispiele für Halbleiterfertigungsprozesse sind unter anderem, jedoch nicht darauf beschränkt, chemisch-mechanisches Polieren, Ätzen, Abscheidung und Ionenimplantation. Mehrere Halbleiterbauelemente können auf einem einzigen Halbleiterwafer hergestellt und dann in einzelne Halbleiterbauelemente getrennt werden.
  • Metrologieverfahren werden bei verschiedenen Schritten während eines Prozesses in der Halbleiterherstellung eingesetzt, um Defekte auf Wafern zu erkennen und eine höhere Ausbeute zu erzielen. Eine Reihe von messtechnischen Techniken, einschließlich Scatterometrie- und Reflektometrie-Implementierungen und zugehörige Analysealgorithmen, werden üblicherweise verwendet, um kritische Abmessungen, Schichtdicken, Zusammensetzung und andere Parameter von nanoskaligen Strukturen zu charakterisieren.
  • Üblicherweise werden Scatterometrie-Messungen kritischer Dimensionen (im Englischen „scatterometry critical dimension“, abgekürzt mit SCD) an Targets durchgeführt, die aus dünnen Schichten (Filme) und/oder wiederholten periodischen Strukturen bestehen. Während der Herstellung der Bauelemente stellen diese Schichten und periodischen Strukturen typischerweise die tatsächliche Bauelementgeometrie und Materialstruktur oder ein Zwischendesign dar. Da sich die Bauelemente (beispielsweise Logik- und Speicherbauelemente) in Richtung kleinerer Abmessungen im Nanometerbereich hinbewegen, wird die Charakterisierung immer schwieriger. Bauelemente mit komplexer dreidimensionaler Geometrie und Materialien mit unterschiedlichen physikalischen Eigenschaften tragen zur Schwierigkeit der Charakterisierung bei. Moderne Speicherstrukturen sind beispielsweise oft dreidimensionale Strukturen mit hohem Aspektverhältnis, die es der optischen Strahlung erschweren, bis zu den unteren Schichten vorzudringen. Optische Metrologie-Werkzeuge, die infrarotes bis sichtbares Licht verwenden, können viele Schichten von durchscheinenden Materialien durchdringen, aber längere Wellenlängen, die eine gute Eindringtiefe bieten, bieten keine ausreichende Empfindlichkeit für kleine Anomalien. Darüber hinaus führt die steigende Anzahl von Parametern, die zur Charakterisierung komplexer Strukturen (beispielsweise FinFETs) erforderlich sind, zu einer zunehmenden Parameterkorrelation. Dies führt dazu, dass die Parameter, die das Target charakterisieren, oft nicht zuverlässig mit den verfügbaren Messungen entkoppelt werden können.
  • In einem Beispiel wurden längere Wellenlängen (beispielsweise Nahinfrarot) eingesetzt, um Penetrationsprobleme für 3D-FLASH-Bauteile zu überwinden, die Polysilizium als eines der alternierenden Materialien im Stapel verwenden. Die spiegelähnliche Struktur von 3D-FLASH verursacht jedoch eine abnehmende Lichtintensität, wenn sich die Beleuchtung tiefer in den Filmstapel ausbreitet. Dies führt zu Empfindlichkeitsverlusten und Korrelationsproblemen in der Tiefe. In diesem Szenario ist SCD nur in der Lage, einen reduzierten Satz von Metrologieabmessungen mit hoher Empfindlichkeit und geringer Korrelation erfolgreich zu extrahieren.
  • In einem anderen Beispiel werden in modernen Halbleiterstrukturen zunehmend opake High-k-Materialien verwendet. Optische Strahlung ist oft nicht in der Lage, Schichten aus diesen Materialien zu durchdringen. Infolgedessen werden Messungen mit Dünnschicht-Scatterometern wie beispielsweise Ellipsometern oder Reflektometern immer schwieriger.
  • Als Antwort auf diese Herausforderungen wurden komplexere optische Metrologie-Werkzeuge entwickelt. So wurden beispielsweise Geräte mit mehreren Beleuchtungswinkeln, kürzeren Beleuchtungswellenlängen, größeren Bereichen für Wellenlängen und vollständigerer Informationserfassung aus reflektierten Signalen (beispielsweise Messung mehrerer Mueller-Matrixelemente zusätzlich zu den konventionelleren Reflektivitäts- oder Ellipsometersignalen) entwickelt. Diese Ansätze haben jedoch nicht zuverlässig die grundlegenden Herausforderungen überwunden, die mit der Messung vieler fortschrittlicher Targets (beispielsweise komplexe 3D-Strukturen, Strukturen kleiner als 10 nm, Strukturen mit opaken Materialien) und Messanwendungen (beispielsweise Messungen der Kantenrauheit und Linienbreitenrauheit) verbunden sind.
  • Rasterkraftmikroskope (AFM) und Rastertunnelmikroskope (STM) sind in der Lage, eine atomare Auflösung zu erreichen, aber sie können nur die Oberfläche der Probe untersuchen. Darüber hinaus benötigen AFM- und STM-Mikroskope lange Abtastzeiten. Rasterelektronenmikroskope (REM) erreichen mittlere Auflösungsstufen, können aber nicht tief genug in Strukturen eindringen. So werden Löcher mit hohem Aspektverhältnis nicht gut charakterisiert. Darüber hinaus wirkt sich die erforderliche Aufladung der Probe negativ auf die Abbildungsleistung aus. Röntgen-Scatterometer leiden ebenfalls unter Penetrationsproblemen, die ihre Effektivität bei der Messung von Strukturen mit hohem Aspektverhältnis einschränken.
  • Um Probleme mit der Eindringtiefe zu überwinden, werden herkömmliche bildgebende Verfahren wie TEM, SEM und so weiter mit destruktiven Probenvorbereitungstechniken wie fokussierte lonenstrahlbearbeitung (FIB), lonenfräsen, flächiges oder selektives Ätzen und so weiter eingesetzt. Beispielsweise erreichen Transmissionselektronenmikroskope (TEM) hohe Auflösungsniveaus und können beliebige Tiefen sondieren, aber TEM erfordert zerstörendes Schneiden der Probe. Mehrere Iterationen des Materialabtrags und der Messung liefern in der Regel die Informationen, die zur Messung der kritischen Metrologieparameter in einer dreidimensionalen Struktur erforderlich sind. Diese Techniken erfordern jedoch die Zerstörung der Probe und lange Bearbeitungszeiten. Die Komplexität und der Zeitaufwand für diese Art von Messungen führt zu großen Ungenauigkeiten aufgrund der Drift der Ätz- und Metrologieschritte. Darüber hinaus erfordern diese Verfahren zahlreiche Iterationen, die zu Registrierungsfehlern führen.
  • Röntgen-Scatterometrie-Systeme haben sich als vielversprechend erwiesen, um anspruchsvolle Messanwendungen anzugehen. Messungen der gestreuten Ordnungen liefern Signalinformationen zur Bestimmung einiger interessierender Probeneigenschaften. Typischerweise wird ein Kleinwinkel-Röntgen-Scatterometrie (SAXS) Datensatz aus Messungen eines Wafers nach einem kritischen Prozessschritt (Bearbeitungsschritt) gesammelt. Der Datensatz umfasst Bilder von Beugungsmustern bei einem oder mehreren Einfallswinkeln. Ein geometrisches Modell der gesamten Einheitszelle der Target-Struktur wird erzeugt und in ein Messmodell integriert. Ein physikalisch basierter Solver erzeugt simulierte Beugungsmuster für einen gegebenen Satz von Werten der geometrischen Parameter und Systemparameter des Messmodells. Eine Reihe von Parametern des Messmodells werden in einer Regressionsanalyse gleitend verändert, um Parameterwerte zu finden, die den Restwert zwischen den gemessenen und simulierten Beugungsmustern minimieren. Die geometrischen Parameterwerte, die die beste Anpassung ergeben, werden als die gemessenen Parameterwerte betrachtet, die der Messung auf dem Wafer zugeordnet sind.
  • Strukturen, die auf einem Wafer hergestellt werden, werden zunehmend komplexer, wenn der Wafer den Bearbeitungsablauf bei der Herstellung von Halbleiterwafern durchläuft. Infolgedessen werden geometrische Modelle der gesamten Einheitszelle periodischer Strukturen, die auf einem Wafer hergestellt werden, zunehmend komplexer, wenn die Anzahl der auf den Wafer angewandten Prozesse zunimmt.
  • Typischerweise müssen viele Parameter gleichzeitig angepasst werden, um komplexe geometrische Modelle aufzulösen. Dies macht den Messprozess rechenintensiv oder in manchen Fällen rechnerisch unlösbar. Eine große und komplizierte Einheitszelle erfordert die Messung vieler Beugungsordnungen, um die gesamte Signalinformation in den Beugungsmustern zu berücksichtigen. Auch hier ist die Verarbeitung vieler Beugungsordnungen rechenintensiv. Schließlich kann ein geometrisches Modell, das eine komplexe Struktur repräsentiert, trotz aller Bemühungen subtile Merkmale übersehen, die aufgrund von Bearbeitungsvariationen entstehen, oder es kann die nominale Struktur nicht vollständig beschreiben. Unzulänglichkeiten des geometrischen Modells können dazu führen, dass es sich nicht anpassen lässt oder dass die Anpassungsroutine sehr ungenaue Parameterwerte schätzt, um die Unzulänglichkeiten des Modells zu kompensieren.
  • Um die Leistung der Bauelemente weiter zu verbessern, konzentriert sich die Halbleiterindustrie weiterhin auf die vertikale Integration und nicht auf die laterale Skalierung. Daher ist die genaue Messung komplexer, vollständig dreidimensionaler Strukturen von entscheidender Bedeutung, um die Lebensfähigkeit und weitere Verbesserungen der Skalierung zu gewährleisten. Zukünftige Metrologie-Anwendungen stellen die Metrologie vor Herausforderungen aufgrund von Anforderungen mit immer kleinerer Auflösung, Korrelation von mehreren Parametern, immer komplexer werdenden geometrischen Strukturen mit hohem Aspektverhältnis und der zunehmenden Verwendung opaker Materialien. Daher werden Verfahren und Systeme für verbesserte Röntgen-Scatterometrie-Messungen gewünscht.
  • KURZE ZUSAMMENFASSUNG DER OFFENLEGUNG
  • Es werden Verfahren und Systeme zur Vermessung einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt (Bearbeitungsschritt) vorgestellt. Die Schätzung eines oder mehrerer interessierender geometrischer Parameter basiert auf Messdaten, die mit der komplexen Halbleiterstruktur vor und nach dem kritischen Prozessschritt zugeordnet sind. Die Verwendung von Messdaten sowohl vor als auch nach einem kritischen Prozessschritt ermöglicht eine schnellere Modellerstellung und Modellanpassung bei reduziertem Rechenaufwand. In einigen Beispielen ermöglicht das vereinfachte Messmodell eine genauere Schätzung von interessierenden Parametern als die herkömmliche, komplexe Modellerstellung und -anpassung.
  • Gemäß einem Aspekt basiert die Messung einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt auf Röntgen-Scatterometrie-Messdaten, die der komplexen Halbleiterstruktur sowohl vor als auch nach dem kritischen Prozessschritt zugeordnet sind. Unter der Annahme, dass verschiedene Formen innerhalb einer Einheitszelle mit ausreichendem Abstand räumlich voneinander getrennt sind, um Kohärenzeffekte zu minimieren, wird das gemessene Beugungsmuster als eine Linearkombination von Fourier-Komponenten approximiert, die jeweils einer anderen Form zugeordnet sind. Eine Schätzung eines interessierenden geometrischen Parameters, der durch den kritischen Prozessschritt verändert wird, basiert auf der Anpassung kombinierter Messdaten an ein vereinfachtes geometrisches Modell der gemessenen Struktur. In einigen Ausführungsformen werden die kombinierten Messdaten basierend auf der Subtraktion des Beugungsmusters vor dem kritischen Prozessschritt von dem gemessenen Beugungsmuster nach dem kritischen Prozessschritt bestimmt. In einigen Ausführungsformen umfasst das vereinfachte geometrische Modell der gemessenen Struktur nur das Merkmal beziehungsweise die Merkmale, das beziehungsweise die von dem kritischen Prozessschritt betroffen ist beziehungsweise sind. Infolgedessen umfasst das vereinfachte geometrische Modell eine geringere Anzahl von gleitend veränderten geometrischen Parametern im Vergleich zu einem vollständigen geometrischen Modell der Strukturen, die in den nach dem kritischen Prozessschritt durchgeführten Messungen erfasst wurden.
  • Gemäß einem anderen Aspekt umfasst eine auf kombinierten Röntgen-Scatterometrie-Daten basierende Messtechnik (Metrologie) die Bestimmung der Abmessungen einer Probe auf der Grundlage des kombinierten Datensatzes und eines trainierten Modells für eine Signal-Antwort-Metrologie (im Englischen „signal response metrology“, abgekürzt mit SRM). In diesen Beispielen werden die kritischen Abmessungen direkt mit den kombinierten Datensätzen ohne ein geometrisches Modell korreliert. Gemäß einem weiteren Aspekt wird ein trainiertes Modell für eine Signal-Antwort-Metrologie verwendet, um Werte von interessierenden Strukturparametern mit unbekannten Werten basierend auf kombinierten Messsignalen zu schätzen.
  • Gemäß einem weiteren Aspekt basiert die Schätzung eines oder mehrerer interessierender geometrischer Parameter einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt auf tatsächlichen Röntgen-Scatterometrie-Messdaten, die der komplexen Halbleiterstruktur nach dem kritischen Prozessschritt zugeordnet sind, und auf simulierten Röntgen-Scatterometrie-Messdaten, die der komplexen Halbleiterstruktur vor dem kritischen Prozessschritt zugeordnet sind.
  • Das Vorstehende ist eine Zusammenfassung und enthält daher notwendigerweise Vereinfachungen, Verallgemeinerungen und Auslassungen von Details; folglich ist für den Fachmann offensichtlich, dass die Zusammenfassung lediglich der Veranschaulichung dient und in keiner Weise einschränkend ist. Andere Aspekte, Erfindungsmerkmale und Vorteile der hier beschriebenen Vorrichtungen und/oder Verfahren werden in der hier dargelegten, nicht einschränkenden detaillierten Beschreibung deutlich werden.
  • Figurenliste
  • Für ein besseres Verständnis des Wesens und der Ziele der Offenbarung sollte auf die folgende detaillierte Beschreibung in Verbindung mit den beigefügten Zeichnungen Bezug genommen werden:
    • 1 ist eine vereinfachte Abbildung zur Veranschaulichung einer Ausführungsform eines Metrologie-Werkzeugs zum Messen von Eigenschaften einer Probe gemäß den hier vorgestellten beispielhaften Verfahren.
    • 2 ist eine Abbildung zur Veranschaulichung einer Ausführungsform einer Modellerstellungs- und Analysemaschine zur Schätzung von Werten eines oder mehrerer geometrischer Parameter, die eine komplexe Halbleiterstruktur charakterisieren, basierend auf Röntgen-Scatterometrie-Messdaten sowohl vor als auch nach einem kritischen Prozessschritt.
    • 3 ist eine Abbildung zur Veranschaulichung einer Trainingsmaschine für ein SRM-Modell.
    • 4 ist eine Abbildung zur Veranschaulichung einer Trainingsmaschine für ein SRM-Modell.
    • 5 ist eine Abbildung, das eine weitere Ausführungsform einer Modellerstellungs- und -Analysemaschine veranschaulicht, um Werte eines oder mehrerer geometrischer Parameter zu schätzen, die eine komplexe Halbleiterstruktur auf der Grundlage von Röntgen-Scatterometrie-Messdaten sowohl vor als auch nach einem kritischen Prozessschritt charakterisieren.
    • 6 ist eine vereinfachte Abbildung, die eine Querschnittsansicht einer Halbleiterstruktur vor einem kritischen Prozessschritt gemäß einer Ausführungsform zeigt.
    • 7 ist eine vereinfachte Abbildung, die eine Querschnittsansicht der in 6 dargestellten Mehrschichtstruktur nach einem kritischen Prozessschritt darstellt.
    • 8 ist eine Abbildung, die eine Querschnittsansicht der in 7 dargestellten Auskleidungsstrukturen veranschaulicht.
    • 9 ist eine Abbildung zur Veranschaulichung einer Querschnittsansicht einer Halbleiterstruktur vor einem kritischen Prozessschritt gemäß einer anderen Ausführungsform.
    • 10 ist eine Abbildung zur Veranschaulichung einer Querschnittsansicht der in 9 dargestellten Mehrschichtstruktur, nachdem mit einem Prozessschritt Wolfram entfernt wurde.
    • 11 ist eine Abbildung zur Veranschaulichung einer Querschnittsansicht der Wolfram-Aussparungsstruktur, die von einer Mehrschichtstruktur durch den Prozess der Entfernung von Wolfram entfernt wurde.
    • 12 ist eine Abbildung, die eine Draufsicht auf eine Halbleiterstruktur vor einem kritischen Prozessschritt gemäß einer anderen Ausführungsform darstellt.
    • 13 ist ein Bild zur Veranschaulichung eines Beugungsmusters von einer Struktur, die in einem Beispiel mit einem Röntgen-Scatterometer gemessen wurde.
    • 14 ist eine Abbildung, die eine Draufsicht auf die in 12 dargestellte Struktur zeigt, nachdem ein Schlitz mit einem Entfernungs-Prozessschritt erzeugt wurde.
    • 15 ist ein Bild zur Veranschaulichung eines Beugungsmusters von einer Struktur, die mit dem Röntgen-Scatterometer gemessen wurde, das zur Messung der in 12 dargestellten Struktur verwendet wurde.
    • 16 ist eine Abbildung zur Veranschaulichung einer Draufsicht auf eine Struktur mit einer Schlitzstruktur ohne ein Lochmuster.
    • 17 ist ein Bild, das eine pixelweise Subtraktion des in 13 dargestellten gemessenen Beugungsmusters von dem in 15 dargestellten gemessenen Beugungsmuster zeigt.
    • 18 ist ein Bild zur Veranschaulichung einer Simulation eines Beugungsmusters von einer mit dem Röntgen-Scatterometer gemessenen Struktur.
    • 19 veranschaulicht ein Verfahren, das zur Implementierung durch ein Metrologie-System wie das in 1 dargestellte Metrologie-System 100 der vorliegenden Erfindung geeignet ist.
  • DETAILLIERTE BESCHREIBUNG DER OFFENLEGUNG
  • Es wird nun im Detail auf Hintergrundbeispiele und einige Ausführungsformen der Erfindung Bezug genommen, die in den beiliegenden Zeichnungen beispielhaft dargestellt sind.
  • Hierin werden Verfahren und Systeme zur Schätzung von Werten eines oder mehrerer interessierender geometrischer Parameter einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt vorgestellt. Insbesondere basiert die Schätzung des einen oder der mehreren interessierenden geometrischen Parameter auf Messdaten, die mit der komplexen Halbleiterstruktur vor und nach dem kritischen Prozessschritt in Zusammenhang stehen. Die Verwendung von Messdaten sowohl vor als auch nach einem kritischen Prozessschritt ermöglicht eine schnellere Modellerstellung und Anpassung eines Modells mit reduziertem Rechenaufwand. In einigen Beispielen ermöglicht das vereinfachte Messmodell eine genauere Schätzung der interessierenden Parameter als die herkömmliche, komplexe Modellerstellung und -anpassung. 1 zeigt eine Ausführungsform eines Metrologie-Werkzeuges 100 zur Messung von Eigenschaften einer Probe gemäß den hier vorgestellten beispielhaften Verfahren. Wie in 1 gezeigt, kann das System 100 verwendet werden, um Röntgen-Scatterometrie-Messungen über einem Messbereich 102 einer Probe 101 durchzuführen, die auf einem Probenpositionierungssystem 140 angeordnet ist. In einigen Ausführungsformen hat der Messbereich 102 eine Spotgröße von achtzig Mikrometern oder weniger. In einigen Ausführungsformen hat der Messbereich 102 eine Spotgröße von fünfzig Mikrometern oder weniger. In einigen Ausführungsformen hat der Messbereich 102 eine Spotgröße von vierzig Mikrometern oder weniger.
  • In der dargestellten Ausführungsform umfasst das Metrologie-Werkzeug 100 eine Röntgenbeleuchtungsquelle 110, die Röntgenstrahlung erzeugt, die für Röntgen-Scatterometrie-Messungen (Röntgenstreumessungen) geeignet ist. In einigen Ausführungsformen erzeugt die Röntgenbeleuchtungsquelle 110 Wellenlängen zwischen 0,01 Nanometern und 1 Nanometer. Die Röntgenbeleuchtungsquelle 110 erzeugt einen Röntgenstrahl 117, der auf den Inspektionsbereich 102 der Probe 101 trifft.
  • Im Allgemeinen kann jede geeignete Röntgenbeleuchtungsquelle mit hoher Helligkeit, die in der Lage ist, Röntgenstrahlen mit hoher Helligkeit bei ausreichenden Röntgenflussniveaus zu erzeugen, um eine Inline-Metrologie mit hohem Durchsatz zu ermöglichen, als Röntgenbeleuchtungsquelle für Röntgen-Scatterometrie-Messungen, wie hier beschrieben, in Betracht gezogen werden. In einigen Ausführungsformen umfasst eine Röntgenquelle einen regelbaren Monochromator, der es der Röntgenquelle ermöglicht, Röntgenstrahlung bei verschiedenen, wählbaren Wellenlängen zu liefern.
  • In einigen Ausführungsformen werden eine oder mehrere Röntgenquellen verwendet, die Strahlung mit einer Photonenenergie von mehr als 15 keV emittieren, um sicherzustellen, dass die Röntgenquelle Licht mit Wellenlängen liefert, die eine ausreichende Transmission durch das gesamte Gerät sowie das Wafersubstrat ermöglichen. Als nicht einschränkendes Beispiel kann eine Teilchenbeschleunigerquelle, eine Flüssigkeitsanodenquelle, eine Drehanodenquelle, eine stationäre Festkörperanodenquelle, eine Mikrofokusquelle, eine Mikrofokus-Drehanodenquelle und eine inverse Compton-Quelle als Röntgenquelle 110 verwendet werden. In einem Beispiel kann eine inverse Compton-Quelle, die von Lyncean Technologies, Inc., Palo Alto, Kalifornien (USA), erhältlich ist, in Erwägung gezogen werden. Inverse Compton-Quellen haben den zusätzlichen Vorteil, dass sie Röntgenstrahlung über einen Bereich von Photonenenergien erzeugen können, wodurch die Röntgenquelle in der Lage ist, Röntgenstrahlung bei verschiedenen, wählbaren Wellenlängen zu liefern. Exemplarische Röntgenquellen umfassen Elektronenstrahlquellen, die so konfiguriert sind, dass sie feste oder flüssige Targets beschießen, um Röntgenstrahlung anzuregen. In einigen Ausführungsformen ist die Röntgenbeleuchtungsquelle 110 ein auf Flüssigmetall basierendes Röntgenbeleuchtungssystem. Es wird ein Strahl aus Flüssigmetall erzeugt, der ein oder mehrere Elemente enthält. Als nicht einschränkendes Beispiel enthält der Flüssigmetallstrahl zumindest eines von Aluminium, Gallium, Indium, Zinn, Thallium und Bismut. Eine Elektronenstrahlquelle (beispielsweise eine Elektronenkanone) erzeugt einen Elektronenstrom, der durch eine Elektronenoptik auf den Flüssigmetallstrahl gerichtet wird. Geeignete Elektronenoptiken umfassen Elektromagnete, Permanentmagnete oder eine Kombination aus Elektromagneten und Permanentmagneten zur Fokussierung des Elektronenstrahls und zur Ausrichtung des Strahls auf den Flüssigmetallstrahl. Das Zusammentreffen des Flüssigmetallstrahls und des Elektronenstroms erzeugt einen Röntgenstrahl 117, der auf den Inspektionsbereich 102 der Probe 101 trifft. Auf diese Weise erzeugt der Flüssigmetallstrahl Röntgenlinien, die den einzelnen Bestandteilen entsprechen. In einer Ausführungsform enthält der Flüssigmetallstrahl eine Gallium- und Indiumlegierung.
  • Verfahren und Systeme zur Erzeugung von Flüssigmetall-Röntgenbeleuchtungen mit hoher Helligkeit sind in US 7,929,667 B1 vom 19.04.2011 (KLA-Tencor Corp.) beschrieben, welches Patent in seiner Gesamtheit durch Bezugnahme hierin enthalten ist. In einer Ausführungsform liegt der einfallende Röntgenstrahl 117 auf der Indiumka-Linie bei 24,2 keV. Der Röntgenstrahl wird auf weniger als einen Millirad Divergenz kollimiert, wobei eine Mehrschicht-Röntgenoptik für Röntgen-Scatterometrie-Messungen verwendet wird.
  • In einigen Ausführungsformen werden die hier beschriebenen Röntgen-Scatterometrie-Messungen ohne Verwendung eines zwischen der Röntgenquelle und der zu messenden Probe befindlichen Schirms durchgeführt. In diesen Ausführungsformen liefern die gemessenen Intensitäten des Strahls über einem Bereich von Einfallswinkeln, mehreren Wellenlängen oder einer Kombination aus beidem ausreichend Informationen, um eine Verteilungskarte (das heißt ein Bild) einer gewünschten Materialeigenschaft (beispielsweise komplexer Brechungsindex, Elektronendichte oder Absorptionsvermögen) der gemessenen Struktur aufzulösen. In einigen anderen Beispielen befindet sich jedoch eine Lochblende oder eine andere Öffnung auf einem ansonsten undurchsichtigen Schirm, der sich zwischen der Röntgenquelle und der zu messenden Probe befindet, um die Kollimation des Röntgenstrahls zu verbessern. Die Intensität des Beugungsmusters wird für mehrere Positionen der Apertur gemessen. In einigen anderen Ausführungsformen wird ein Schirm mit einem pseudozufälligen Aperturmuster verwendet, und das Beugungsmuster wird für mehrere Schirme gemessen. Diese Ansätze können auch in Erwägung gezogen werden, um zusätzliche Informationen zur Auflösung der dreidimensionalen Verteilung der gewünschten Materialeigenschaft der gemessenen Struktur zu erhalten.
  • In einigen Ausführungsformen wird das Profil des einfallenden Röntgenstrahls durch zwei oder mehr Aperturen, Schlitze oder eine Kombination davon gesteuert. In einer weiteren Ausführungsform sind die Aperturen, Schlitze oder beides so konfiguriert, dass sie sich in Koordination mit der Ausrichtung der Probe drehen, um das Profil des einfallenden Strahls für jeden Einfallswinkel, Azimutwinkel oder beides zu optimieren.
  • Wie in 1 dargestellt, formt und lenkt die Röntgenoptik 115 den einfallenden Röntgenstrahl 117 auf die Probe 101. In einigen Beispielen umfasst die Röntgenoptik 115 einen Röntgen-Monochromator zur Monochromatisierung des Röntgenstrahls, der auf die Probe 101 auftrifft. In einem Beispiel wird ein Kristall-Monochromator, wie beispielsweise ein Loxley-Tanner-Bowen-Monochromator, zur Monochromatisierung des Röntgenstrahls verwendet. In einigen Beispielen kollimiert oder fokussiert die Röntgenoptik 115 den Röntgenstrahl 117 auf den Inspektionsbereich 102 der Probe 101 auf weniger als 1 Milliradian Divergenz unter Verwendung einer Mehrschicht-Röntgenoptik. In einigen Ausführungsformen umfasst die Röntgenoptik 115 einen oder mehrere Röntgenkollimationsspiegel, Röntgenblenden, Röntgenstrahlbegrenzer, refraktive Röntgenoptiken, diffraktive Optiken, wie beispielsweise Zonenplatten, spiegelnde Röntgenoptiken, wie beispielsweise Ellipsoidspiegel mit streifendem Einfall, Polykapillaroptiken, wie beispielsweise hohle Kapillar-Röntgenwellenleiter, Mehrschichtoptiken oder - systeme, oder eine beliebige Kombination davon. Weitere Einzelheiten sind in US 2015/0110249 A1 beschrieben, deren Inhalt hierin durch Bezugnahme in vollem Umfang aufgenommen wird.
  • Im Allgemeinen wird die Brennebene des Beleuchtungsoptiksystems für jede Messanwendung optimiert. Auf diese Weise ist das System 100 so konfiguriert, dass die Brennebene je nach Messanwendung in verschiedenen Tiefen innerhalb der Probe angeordnet wird.
  • Der Röntgendetektor 116 sammelt die von der Probe 101 gestreute Röntgenstrahlung 125 und erzeugt ein Ausgangssignal 126, das die Eigenschaften der Probe 101 anzeigt, die für die einfallende Röntgenstrahlung empfindlich sind. In einigen Ausführungsformen wird die gestreute Röntgenstrahlung 125 vom Röntgendetektor 116 aufgefangen, während das Probenpositionierungssystem 140 die Probe 101 lokalisiert und ausrichtet, um winkelaufgelöste gestreute Röntgenstrahlung zu erzeugen.
  • Das Metrologie-System 100 umfasst einen oder mehrere Photonen-Zähldetektoren mit hohem Dynamikumfang (beispielsweise größer als 105) und dicken, hochabsorbierenden Kristallsubstraten, die den direkten Strahl (das heißt den Strahl nullter Ordnung) ohne Beschädigung und mit minimaler parasitärer Rückstreuung absorbieren. Beispielhafte Detektormaterialien, die für die Vollstrahl-Röntgen-Scatterometrie geeignet sind, sind unter anderem Cadmiumtellurid (CdTe), Germanium (Ge) und Galliumarsenid (GaAs) Kristalle. In einigen Ausführungsformen wird das Detektormaterial so ausgewählt, dass es eine hohe Umwandlungseffizienz in einem schmalen Energieband bietet, das der Quellenenergie entspricht.
  • In einigen Ausführungsformen detektiert ein Einzelphotonen-Zähldetektor die Position und Anzahl der detektierten Photonen. In einigen Ausführungsformen werden Detektoren mit zwei Schwellenwerten verwendet, um das Signal-RauschVerhältnis (SNR) zu verbessern.
  • Der Röntgendetektor 116 löst eine oder mehrere Röntgenphotonenenergien auf und erzeugt Signale für jede Röntgenenergiekomponente, die auf Eigenschaften der Probe hinweisen. In einigen Ausführungsformen umfasst der Röntgendetektor 116 ein CCD-Array, eine Mikrokanalplatte, ein Fotodioden-Array, einen Mikrostreifen-Proportionalzähler, einen gasgefüllten Proportionalzähler, einen Szintillator oder ein fluoreszierendes Material.
  • Auf diese Weise werden die Röntgenphotonen-Wechselwirkungen innerhalb des Detektors zusätzlich zur Pixelposition und Anzahl der Zählungen nach der Energie unterschieden. In einigen Ausführungsformen werden die Röntgenphotonen-Wechselwirkungen unterschieden, indem die Energie der Röntgenphotonen-Wechselwirkung mit einem vorgegebenen oberen Schwellenwert und einem vorgegebenen unteren Schwellenwert verglichen wird. In einer Ausführungsform werden diese Informationen über Ausgangssignale 126 an das Rechensystem 130 zur weiteren Verarbeitung und Speicherung übermittelt.
  • Eine vertikal hergestellte Struktur mit hohem Aspektverhältnis beugt einen kollimierten Röntgenstrahl in Beugungsordnungen. Jede Beugungsordnung bewegt sich in eine bestimmte, vorhersagbare Richtung. Der Winkelabstand der Beugungsordnungen ist umgekehrt proportional zur Gitterkonstante der Probe geteilt durch die Wellenlänge. Die Beugungsordnungen werden von einem Detektor-Array detektiert, das in einiger Entfernung vom Wafer platziert ist. Jedes Pixel des Detektors gibt ein Signal aus, das die Anzahl der Photonen angibt, die das Pixel getroffen haben.
  • Die Intensitäten der Beugungsordnungen haben die Form I(m,n, θ,ϕ,λ), wobei {m,n} ganzzahlige Indizes der Beugungsordnungen sind, {θ,ϕ} Elevations- und Azimutwinkel des einfallenden Strahls sind (das heißt Polarkoordinaten des einfallenden Hauptstrahls in Bezug auf ein Koordinatensystem, das dem Wafer fest zugeordnet ist), und λ die Wellenlänge des einfallenden Röntgenstrahls ist.
  • Mehrere Störquellen stören das Beleuchtungslicht, wenn es die Beleuchtung verlässt und sich in Richtung der Probe ausbreitet. Beispielhafte Störungen sind Elektronenstrahlstromschwankungen, temperaturbedingte Optikdrift und so weiter. Der gestörte einfallende Lichtstrom wird mit F0(1+n1) bezeichnet.
  • Das Target streut die einfallende Strahlung in einer Weise, die von den Azimut-und Elevationswinkeln des einfallenden Strahls abhängt. Die Effizienz der Lichtstreuung in Ordnungen (m,n) kann als Smn(θ,ϕ) definiert werden. Während sich das gebeugte Licht von der Probe zum Detektor ausbreitet, durchläuft der Strahl andere Streumedien, die alle Ordnungen in ähnlicher Weise mit einer gewissen Variation (1+n2) und parasitärem Rauschen (n3) beeinflussen. Auf diese Weise kann die Gesamtintensität Imn jeder Ordnung, die in einer Zeit t gemessen wird, durch Gleichung (1) ausgedrückt werden. I m n = S m n ( θ , ϕ ) ( 1 + n 2 ) ( 1 + n 1 ) F 0 t + n 3
    Figure DE112020002023T5_0001
  • Die Intensität jeder Ordnung kann auf verschiedene Weise extrahiert werden. In einigen Ausführungsformen werden die Beugungsordnungen am Detektor räumlich getrennt. In diesen Ausführungsformen werden die Beugungsordnungen einzeln vom Detektor-Array erfasst und die Ausgaben von Pixeln, die der gleichen Beugungsordnung zugeordnet sind, werden kombiniert (das heißt addiert). Auf diese Weise werden detektierte Beugungsordnungen durch Akkumulation der Photonenzahlen von Pixeln, die jeder bestimmten Beugungsordnung zugeordnet sind, unterschieden. Dieses Szenario ist wahrscheinlicher, wenn Merkmale mit untereinander relativ kleinem Abstand gemessen werden oder wenn mit einem Strahl mit einer relativ kleinen Divergenz gemessen wird.
  • In einigen anderen Ausführungsformen überlappen sich die Beugungsordnungen am Detektor räumlich und die Pixelausgaben können nicht einfach kombiniert werden, um die mit einer bestimmten Beugungsordnung verbundene Intensität zu bestimmen. In diesen Ausführungsformen wird ein Messmodell verwendet, um die Beugungsordnungen zu dekonvolvieren, um die gemessene Intensität jeder detektierten Beugungsordnung zu unterscheiden. Dieses Szenario ist wahrscheinlicher, wenn Merkmale mit untereinander großem Abstand gemessen werden oder wenn mit einem Strahl gemessen wird, der eine relativ große Divergenz hat.
  • Wie in 1 dargestellt, umfasst das Metrologie-System 100 ein Rechensystem 130, das zur Erfassung von vom Detektor 116 erzeugten Signale 126 und zur Bestimmung der Eigenschaften der interessierenden Struktur, zumindest teilweise auf der Grundlage der erfassten Signale, eingesetzt wird.
  • Gemäß einem Aspekt basiert die Schätzung eines oder mehrerer interessierender geometrischer Parameter einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt auf Röntgen-Scatterometrie-Messdaten (Röntgenstreuungsmessdaten), die mit der komplexen Halbleiterstruktur sowohl vor als auch nach dem kritischen Prozessschritt assoziiert sind. Im einfachsten physikalischen Modell der Röntgenstreuung ist die Intensität des Beugungsmusters linear proportional zum quadrierten Formfaktor der Formen innerhalb der Einheitszelle, oder äquivalent zur quadrierten FourierTransformation der Einheitszelle. Unter der Annahme, dass die verschiedenen Formen innerhalb der Einheitszelle mit ausreichendem Abstand räumlich getrennt sind, um Kohärenzeffekte zu minimieren, kann das gemessene Beugungsmuster als eine Linearkombination von Fourier-Komponenten angenähert werden, die jeweils mit einer anderen Form assoziiert sind. Somit ist das gemessene Beugungsmuster nach einem kritischen Prozessschritt die Summe eines Beugungsmusters vor dem kritischen Prozessschritt und eines Beugungsmusters, das sich aus der Form oder den Formen ergibt, die durch den kritischen Prozessschritt verändert werden. Auf diese Weise kann eine Schätzung eines interessierenden geometrischen Parameters, der durch den kritischen Prozessschritt geändert wird, auf der Grundlage einer Subtraktion des Beugungsmusters vor dem kritischen Prozessschritt von dem gemessenen Beugungsmuster nach dem kritischen Prozessschritt vorgenommen werden.
  • 2 zeigt eine Abbildung einer Ausführungsform einer Modellerstellungs- und - analysemaschine 150 zur Schätzung von Werten eines oder mehrerer geometrischer Parameter, die eine komplexe Halbleiterstruktur charakterisieren, basierend auf Röntgen-Scatterometrie-Messdaten, die mit der komplexen Halbleiterstruktur sowohl vor als auch nach einem kritischen Prozessschritt assoziiert sind. In einigen Ausführungsformen werden Röntgen-Scatterometrie-Messdaten 126 und 127 von einem Wafer durch ein Röntgen-Scatterometrie-System (Röntgenstreuungssystem) wie das in 1 dargestellte Metrologie-System 100 erfasst. Die Röntgen-Scatterometrie-Daten 126 werden gesammelt, nachdem ein kritischer Prozessschritt auf dem Wafer 101 durchgeführt wird, und die Röntgen-Scatterometrie-Daten 127 werden gesammelt, bevor der kritische Prozessschritt auf dem Wafer 101 durchgeführt wird.
  • 6 zeigt eine Querschnittsansicht einer Halbleiterstruktur vor einem kritischen Prozessschritt gemäß einer Ausführungsform. 6 zeigt eine Mehrschichtstruktur 220 mit einem Kanalloch 223 durch sich wiederholende Oxidschichten 221A-C und Nitridschichten 222A-B.
  • 7 zeigt eine Querschnittsansicht der in 6 dargestellten Mehrschichtstruktur nach einem kritischen Prozessschritt. In der in 7 dargestellten Ausführungsform besteht der kritische Prozessschritt in der Abscheidung der Auskleidung 226 (Liner) und der Auskleidung 227 (Liner) an der Seitenwand des Kanallochs 223, um die Mehrschichtstruktur 225 zu erzeugen.
  • 8 zeigt eine Querschnittsansicht der in 7 dargestellten Auskleidungen 226 und 227. In der in 6 bis 8 dargestellten Ausführungsform sind die Dicke CD1 der Auskleidung 226 und die Dicke CD2 der Auskleidung 227 kritische Abmessungen (kritische Dimensionen) von Interesse.
  • Die Messung der Dicken CD1 und CD2 anhand von Röntgen-Scatterometrie-Daten, die von der in 7 dargestellten Mehrschichtstruktur 225 gesammelt wurden, wird durch das Vorhandensein der Mehrschichtstruktur 220 erschwert. Idealerweise würde man CD1 und CD2 anhand von Röntgen-Scatterometrie-Daten messen, die nur von den Auskleidungsstrukturen 226 und 227 gesammelt wurden. Die Auskleidungsstrukturen 226 und 227 sind jedoch nie isoliert auf einem tatsächlichen Wafer vorhanden, da der Auskleidungs-Abscheidungsprozess nach dem Lochprozess durch die mehreren Schichten 221 und 222 erfolgt. Wie hier beschrieben, werden die Röntgen-Scatterometrie-Daten, die von der Mehrschichtstruktur 220 gesammelt wurden, von den Röntgen-Scatterometrie-Daten subtrahiert, die von der Mehrschichtstruktur 225 gesammelt wurden. Auf diese Weise wird der Einfluss der mehreren Schichten 221 und 222 und des Lochs 223 auf die gemessene Beugung von der gemessenen Beugung in Verbindung mit der Mehrfachschichtstruktur 225 subtrahiert. Das resultierende Beugungsmuster stellt die Streuantwort der Auskleidungen 226 und 227 ohne den Einfluss der mehreren Schichten 221 und 222 und des Lochs 223 genau dar. Die Analyse des resultierenden Beugungsmusters führt zu einer genaueren Schätzung der Dicken CD1 und CD2 bei reduziertem Rechenaufwand.
  • 9 zeigt eine Querschnittsansicht einer Halbleiterstruktur vor einem kritischen Prozessschritt gemäß einer anderen Ausführungsform. 9 zeigt eine Mehrschichtstruktur 210A, die eine vertikale Strukturierung (abwechselnde Oxidschichten 201A-C und Wolframschichten 209A-B), ein Kanalloch 207 und eine mit Wolfram gefüllte Wortleitungs-Schnittstruktur 208 umfasst.
  • 10 zeigt eine Querschnittsansicht der in 9 dargestellten Mehrschichtstruktur, nachdem mit einem Prozessschritt Wolfram entfernt wurde. In der in 10 dargestellten Ausführungsform wird das Wolfram so geätzt, dass jede Wolframplatte um einen kleinen Abstand relativ zu den Oxidplatten vertieft ist.
  • 11 zeigt eine Querschnittsansicht der Wolfram-Aussparungsstruktur 211, die durch den Wolfram-Aussparungsprozess aus der Mehrschichtstruktur 210 entfernt wurde. In der in 9 bis 11 dargestellten Ausführungsform ist der Aussparungsabstand CD eine kritische Abmessung von Interesse.
  • Die Messung des Aussparungsabstands CD anhand von Röntgen-Scatterometrie-Daten, die von der in 10 dargestellten Mehrschichtstruktur 210B gesammelt wurden, wird durch das Vorhandensein der Mehrschichtstruktur 210A erschwert. Idealerweise würde man den Aussparungsabstand CD anhand von Röntgen-Scatterometrie-Daten messen, die nur von der Wolfram-Aussparungsstruktur 211 gesammelt wurden. Die Wolfram-Aussparungsstruktur 211 ist jedoch nie isoliert auf einem tatsächlichen Wafer vorhanden, da der Wolfram-Aussparungsprozess nach dem vertikalen Strukturierungsprozess, dem Lochprozess und dem Wort-Schnittprozess stattfindet. Wie hier beschrieben, werden Röntgen-Scatterometrie-Daten, die von der Mehrschichtstruktur 210A gesammelt wurden, von den Röntgen-Scatterometrie-Daten subtrahiert, die von der Mehrschichtstruktur 210B gesammelt wurden. Auf diese Weise wird der Einfluss der mehreren Schichten 201 und 209, des Lochs 207 und des Wortschnitts 208 auf die gemessene Beugung von der gemessenen Beugung in Verbindung mit der Mehrschichtstruktur 210B subtrahiert. Das resultierende Beugungsmuster stellt die Streuantwort der Wolfram-Aussparungsstruktur 211 ohne den Einfluss der mehreren Schichten 201 und 209, des Lochs 207 und des Wortschnitts 208 genau dar. Die Analyse des resultierenden Beugungsmusters führt zu einer genaueren Schätzung des Aussparungsabstands CD bei reduziertem Rechenaufwand.
  • 12 zeigt eine Draufsicht auf eine Halbleiterstruktur vor einem kritischen Prozessschritt gemäß einer anderen Ausführungsform. In 12 ist eine Struktur 205 mit einem Lochmuster 203 dargestellt.
  • 13 zeigt ein Bild 206, das ein Beugungsmuster der Struktur 205 veranschaulicht, das von einem Röntgen-Scatterometer (Röntgenstreuungsmessgerät; beispielsweise dem Metrologie-System 100) gemessen wurde.
  • 14 zeigt eine Draufsicht auf die in 12 dargestellte Struktur, nachdem ein Schlitz mit einem Entfernungs-Prozessschritt erzeugt wurde. In der in 14 dargestellten Ausführungsform wird die Struktur 205 so geätzt, dass ein Schlitz 204 gebildet wird, was zu der Struktur 215A führt.
  • 15 zeigt ein Bild 212, das ein Beugungsmuster der Struktur 215A veranschaulicht, das von dem Röntgen-Scatterometer (Röntgenstreuungsmessgerät; beispielsweise dem Metrologie-System 100) gemessen wurde, das zur Messung der in 12 dargestellten Struktur 205 verwendet wurde.
  • 16 zeigt eine Draufsicht auf eine Struktur 215B mit dem Schlitz 204 ohne das Lochmuster 203. In der in 14 und 16 dargestellten Ausführungsform ist die Breite W des Schlitzes 204 die kritische Abmessung von Interesse.
  • Die Messung der Breite W anhand von Röntgen-Scatterometrie-Daten, die von der in 14 dargestellten Mehrschichtstruktur 215A gesammelt wurden, wird durch das Vorhandensein des Mehrfachlochmusters 203 erschwert. Idealerweise würde man die Breite W anhand von Röntgen-Scatterometrie-Daten messen, die nur von der Struktur 215B gesammelt wurden. Der Schlitz 204 ist jedoch nie isoliert auf einem tatsächlichen Wafer vorhanden, da der Prozess des Entfernens für den Schlitz nach dem Lochprozess erfolgt. Wie hier beschrieben, werden die Röntgen-Scatterometrie-Daten, die von der Mehrschichtstruktur 205 gesammelt wurden, von den Röntgen-Scatterometrie-Daten subtrahiert, die von der Mehrschichtstruktur 215A gesammelt wurden. Auf diese Weise wird der Einfluss des Lochmusters 203 auf die gemessene Beugung von der gemessenen Beugung in Verbindung mit der Mehrschichtstruktur 215A subtrahiert. Das resultierende Beugungsmuster stellt die Streuantwort der Schlitzstruktur 203 ohne den Einfluss des Lochmusters 203 sehr gut dar. Die Analyse des resultierenden Beugungsmusters führt zu einer genaueren Schätzung der Breite W bei reduziertem Rechenaufwand.
  • 17 zeigt ein Bild 216, das eine pixelweise Subtraktion des in 13 dargestellten gemessenen Beugungsmusters 206 von dem in 15 dargestellten gemessenen Beugungsmuster 212 darstellt. Das resultierende Beugungsmuster ist repräsentativ für die Messantwort der idealen Struktur 215B durch das Röntgen-Scatterometer (Röntgenstreuungsmessgerät; beispielsweise dem Metrologie-System 100).
  • 18 zeigt ein Bild 217, das eine Simulation eines Beugungsmusters von Struktur 215B veranschaulicht, das von dem Röntgen-Scatterometer (Röntgenstreuungsmessgerät; beispielsweise Metrologie-System 100) gemessen wurde, das zur Messung von Struktur 205 und 215A eingesetzt wird. Wie in den 17 und 18 dargestellt, wird die simulierte Antwort der Struktur 215B auf die Messung durch das Röntgen-Scatterometer durch pixelweise Subtraktion des in 13 dargestellten gemessenen Beugungsmusters 206 von dem in 15 dargestellten gemessenen Beugungsmuster 212 sehr gut angenähert.
  • In einigen Ausführungsformen werden die Röntgen-Scatterometrie-Daten 126, die Röntgen-Scatterometrie-Daten 127 oder beide in Bezug auf Systemparameterwerte des Metrologie-Systems 100, die jedem Datensatz zugeordnet sind, normalisiert. Im Allgemeinen haben Datensätze, die vor und nach einem kritischen Prozessschritt erfasst wurden, wahrscheinlich unterschiedliche Werte für einen oder mehrere Systemparameter (beispielsweise Strahlintensität, Strahlzentrum, Ausrichtung der Strahlführung und so weiter). Um die Datensätze zuverlässig zu kombinieren, werden ein oder beide Datensätze korrigiert, um die beiden Datensätzen zugeordneten Systemparameterwerte anzugleichen.
  • In einigen Beispielen werden die nominalen Systemparameterwerte, die vom Metrologie-System selbst verfügbar sind, für die Zwecke der Normalisierung herangezogen. In vielen Messszenarien sind die nominalen Systemparameterwerte, die vom Metrologie-System gemeldet werden, jedoch nicht ausreichend genau. In vielen dieser Beispiele werden die Systemparameterwerte, die jedem Datensatz zugeordnet sind, auf der Grundlage einer modellbasierten Anpassung der Messdaten bestimmt, wobei die Systemparameterwerte gleitend verändert werden.
  • Wie in 2 dargestellt, empfängt ein Normalisierungsmodul 155 Röntgen-Scatterometrie-Messdaten 127, die vor dem kritischen Prozessschritt gesammelt wurden, Röntgen-Scatterometrie-Messdaten 126, die nach dem kritischen Prozessschritt gesammelt wurden, sowie ein Messmodell 128, das der Sammlung von Röntgen-Scatterometrie-Messdaten 127 zugeordnet ist, die vor dem kritischen Prozessschritt gesammelt wurden. Eine modellbasierte Anpassung der Röntgen-Scatterometrie-Messdaten 127 und der durch das Messmodell 128 vorhergesagten Messdaten wird durchgeführt, während ein oder mehrere Systemparameterwerte gleitend verändert werden. Die ermittelten Systemparameterwerte werden als die tatsächlichen Systemparameterwerte behandelt, die den Röntgen-Scatterometrie-Messdaten 127 zugeordnet sind. Wie oben beschrieben, ist es oft schwierig, ein Messmodell, das einer komplexen Halbleiterstruktur zugeordnet ist, die durch einen kritischen Prozessschritt hergestellt wurde, genau zu modellieren. Anstatt zu versuchen, die gemessene Antwort der komplexen Halbleiterstruktur nach dem kritischen Prozessschritt genau zu modellieren, wird eine modellbasierte Anpassung einer Teilmenge von Röntgen-Scatterometrie-Messdaten 126 und Messdaten, die durch das Messmodell 128 vorhergesagt werden, durchgeführt, während ein oder mehrere Systemparameterwerte gleitend verändert werden. Die modellbasierte Anpassung wird mit einer Teilmenge von Röntgen-Scatterometrie-Messdaten 126 durchgeführt, die durch das Vorhandensein der komplexen Halbleiterstruktur minimal beeinflusst wird. In einem Beispiel sind die Röntgen-Scatterometrie-Daten 126 ein gemessenes Beugungsmuster der Struktur 215A, das vom Metrologie-System 100 gemessen wurde. Das gemessene Beugungsmuster ist in Bild 212 von 15 dargestellt. Außerdem ist das simulierte Beugungsmuster von Struktur 215B, wie es vom Metrologie-System 100 gemessen wurde, in 18 dargestellt. In diesem Beispiel werden die Pixel des in 18 dargestellten Bildes 217 identifiziert, die einen Wert ungleich Null (beispielsweise Pixel mit einem Intensitätswert über Null) oder einen relativ kleinen Wert (beispielsweise Pixel mit einem Intensitätswert größer als ein vorbestimmter Schwellenwert) aufweisen. Als nächstes wird den entsprechenden Pixeln des in 15 dargestellten Bildes 212 ein Nullwert zugewiesen. Eine Anpassung des resultierenden Bildes (das heißt der Teilmenge der Röntgen-Scatterometrie-Messdaten 126) und der durch das Messmodell 128 vorhergesagten Messdaten wird durchgeführt, während ein oder mehrere Systemparameterwerte gleitend verändert werden, um die mit dem Datensatz 126 zugeordneten Systemparameterwerte zu identifizieren.
  • Datensatz 126, 127 oder beide werden korrigiert, um die Systemparameterwerte, die beiden Datensätzen zugeordnet sind, abzugleichen. In einem Beispiel wird der Datensatz 126 korrigiert, um mit den Systemparameterwerten übereinzustimmen, die dem Datensatz 127 zugeordnet sind. In einem anderen Beispiel wird der Datensatz 127 so korrigiert, dass er mit den Systemparameterwerten übereinstimmt, die dem Datensatz 126 zugeordnet sind. In einem weiteren Beispiel werden beide Datensätze 126 und 127 so korrigiert, dass sie mit einem vorgegebenen Satz von Systemparameterwerten übereinstimmen.
  • Wie in 2 dargestellt, werden ein normalisierter Datensatz 156, der aus dem Datensatz 126 abgeleitet wurde, und ein normalisierter Datensatz 157, der aus dem Datensatz 127 abgeleitet wurde, an ein Datendifferenzierungsmodul 158 weitergeleitet. Das Datendifferenzierungsmodul kombiniert die normalisierten Datensätze 156 und 157, um einen kombinierten Datensatz 159 zu erzeugen, der an ein Anpassungsanalysemodul 160 übermittelt wird. In einem Beispiel bestimmt das Datendifferenzierungsmodul eine pixelweise Differenz zwischen den Datensätzen 156 und 157 als kombinierten Datensatz 159.
  • Obwohl es bevorzugt ist, Röntgen-Scatterometrie-Daten, die Messungen einer interessierenden Struktur zugeordnet sind, vor und nach einem kritischen Prozessschritt zu normalisieren, ist dies im Allgemeinen nicht erforderlich. In diesem Sinne ist der Normalisierungsblock 155 optional.
  • Bei einigen Ausführungsformen werden die tatsächlichen Röntgen-Scatterometrie-Daten von einem Röntgen-Scatterometrie-System (beispielsweise dem Metrologie-System 100) sowohl vor als auch nach einem kritischen Prozessschritt erfasst. Bei einigen anderen Ausführungsformen werden die Röntgen-Scatterometrie-Daten vor einem kritischen Prozessschritt jedoch synthetisch erzeugt, basierend auf den tatsächlichen Scatterometrie-Daten, die von einem Röntgen-Scatterometrie-System (beispielsweise dem Metrologie-System 100) nach dem kritischen Prozessschritt erfasst werden. Bei einigen Ausführungsformen werden beispielsweise Röntgen-Scatterometrie-Daten 127 synthetisch auf der Grundlage von Röntgen-Scatterometrie-Daten 126 erzeugt, die von dem Röntgen-Scatterometrie-System (beispielsweise dem Metrologie-System 100) nach dem kritischen Prozessschritt erfasst wurden. Bei diesen Ausführungsformen wird ein Mustererkennungsalgorithmus, wie beispielsweise ein Patch-Matching-Algorithmus, verwendet, um einen simulierten Röntgen-Scatterometrie-Datensatz vor einem kritischen Prozessschritt zu erzeugen. Die tatsächlichen Röntgen-Scatterometrie-Daten (beispielsweise Röntgen-Scatterometrie-Daten 126) werden ausgewertet, um eine oder mehrere Regionen (beispielsweise Gruppen von Bildpixeln) zu identifizieren, die der interessierenden Struktur zugeordnet sind, das heißt der durch den kritischen Prozess veränderten Struktur. Die gemessenen Werte innerhalb der einen oder mehreren Regionen werden durch Werte ersetzt, die durch einen Patch-Matching-Algorithmus identifiziert werden, der ein Beugungsmuster erzeugt, das kontinuierlich mit dem gemessenen Muster außerhalb der einen oder mehreren interessierenden Regionen ist. In einem Beispiel zeigt 15 ein Bild 212 eines gemessenen Beugungsmusters von der Struktur 215A, das durch das Röntgen-Scatterometer (beispielsweise Metrologie-System 100) gemessen wurde. Wie in 14 dargestellt, enthält die Struktur 215A sowohl Lochstrukturen 203 als auch die Struktur von Interesse, nämlich die Schlitzstruktur 204. 18 zeigt ein Bild 217, das eine Simulation eines Beugungsmusters veranschaulicht, das nur die vom Röntgen-Scatterometer (beispielsweise Metrologie-System 100) gemessene Schlitzstruktur 204 enthält. Der interessierende Bereich umfasst die Pixel des Bildes 217, die einen messbaren Signalwert aufweisen (beispielsweise Pixel mit Werten, die deutlich über dem Grundrauschen des Röntgen-Scatterometrie-Systems liegen). Die identifizierten Pixel aus Bild 217 werden in dem in 15 dargestellten Bild 212 durch einen Patch-Matching-Algorithmus ersetzt. Die Ersetzungswerte erzeugen ein Beugungsmuster, das kontinuierlich mit dem Muster außerhalb des interessierenden Bereichs ist. Außerdem ist das resultierende Beugungsmuster repräsentativ für die gemessene Antwort einer Struktur, die nur Lochstrukturen und keine Spaltstruktur aufweist. Der resultierende Datensatz wird vom Datensatz 126 durch das Datendifferenzierungsmodul 158 subtrahiert, um einen kombinierten Datensatz 159 zu erzeugen, der dem Anpassungsanalysemodul 160 übermittelt wird. Bei diesen Ausführungsformen ist eine Normalisierung nicht erforderlich, da die Röntgen-Scatterometrie-Daten vor einem kritischen Prozessschritt synthetisch auf der Grundlage tatsächlicher Scatterometrie-Daten erzeugt werden, die von einem Röntgen-Scatterometrie-System erfasst wurden. Eine zusätzliche Beschreibung eines geeigneten Patch-Matching-Algorithmus ist in dem Artikel „PatchMatch: A Randomized Correspondence Algorithm for Structural Image Editing“ von Barnes et al. und online verfügbar unter https://gfx.cs.princeton.edu/pubs/Barnes_2009_PAR/patchmatch.pdf.
  • Gemäß einem weiteren Aspekt umfasst die Modellerstellungs- und - analysemaschine 150 ein Modul 151 zur Erstellung eines vereinfachten Strukturmodells. Das Modul 151 zur Erstellung eines vereinfachten Strukturmodells erzeugt ein vereinfachtes geometrisches Modell 152, das eine Teilmenge der geometrischen Merkmale der nach dem kritischen Prozessschritt gemessenen Strukturen enthält. Bei einigen Ausführungsformen werden nur die ein oder mehreren Merkmale, die von dem kritischen Prozessschritt betroffen sind, in das vereinfachte geometrische Modell 152 aufgenommen. Infolgedessen umfasst das vereinfachte geometrische Modell 152 eine geringere Anzahl von gleitend veränderten geometrischen Parametern im Vergleich zu einem vollständigen geometrischen Modell der Strukturen, die in den nach dem kritischen Prozessschritt durchgeführten Messungen erfasst wurden.
  • In einem Beispiel ist in 8 ein vereinfachtes geometrisches Modell dargestellt, das nur die Auskleidungen 226 und 227 erfasst. In einem anderen Beispiel zeigt 11 ein vereinfachtes geometrisches Modell, das nur die Wolfram-Aussparungsstruktur 211 erfasst. In einem weiteren Beispiel zeigt 16 ein vereinfachtes geometrisches Modell, das nur die Schlitzstruktur 204 erfasst.
  • Das vereinfachte geometrische Modell 152 wird an das Modul 153 zur Erstellung eines Modells für eine Röntgen-Scatterometrie-Antwortfunktion übermittelt. Das Modul 153 zur Erstellung eines Modells für eine Röntgen-Scatterometrie-Antwortfunktionsmodell erzeugt ein vereinfachtes Messantwortmodell 154 auf der Grundlage des vereinfachten geometrischen Modells 152. Das vereinfachte Messantwortmodell 154 simuliert die Antwort der vereinfachten geometrischen Struktur auf die Messung durch ein Röntgen-Scatterometrie-System (beispielsweise das Metrologie-System 100). Das vereinfachte Messantwortmodell 154 wird an das Anpassungsanalysemodul 160 weitergeleitet.
  • Durch die Modellierung von nur einem oder mehreren Merkmalen, die von dem kritischen Prozessschritt betroffen sind, sind das geometrische Modell und das Modell für eine Röntgen-Messantwort viel einfacher zu erzeugen. Außerdem ist das resultierende vereinfachte Modell für eine Messantwort viel einfacher anzupassen als ein viel komplexeres Messmodell, das alle geometrischen Merkmale der gemessenen Strukturen erfasst, die durch die Messung nach dem kritischen Prozessschritt erfasst wurden.
  • Bei einigen Beispielen beinhaltet die auf kombinierten Röntgen-Scatterometrie-Daten basierende Messtechnik (Metrologie) die Bestimmung der Abmessungen der Probe durch die inverse Lösung eines vereinfachten Messmodells (beispielsweise vereinfachtes Messantwortmodell 154) mit den kombinierten Daten (beispielsweise kombinierter Datensatz 159). Das Messmodell umfasst einige wenige (in der Größenordnung von zehn) einstellbare Parameter und ist repräsentativ für die Geometrie und die optischen Eigenschaften der interessierenden Struktur sowie für die optischen Eigenschaften des Messsystems. Das Verfahren der inversen Lösung umfasst, ist aber nicht darauf beschränkt, modellbasierte Regression, Tomographie, maschinelles Lernen oder eine beliebige Kombination davon. Auf diese Weise werden Targetprofilparameter geschätzt, indem nach Werten eines parametrisierten Messmodells gesucht wird, die Fehler zwischen den kombinierten Daten und den modellierten Ergebnissen minimieren.
  • Das Anpassungsanalysemodul 160 führt eine Regression auf dem kombinierten Datensatz 159 unter Verwendung des vereinfachten Messantwortmodells 154 durch, um Werte von einer oder mehreren kritischen Dimensionen 161 zu schätzen. Die geschätzten Werte 161 werden im Speicher 135 abgelegt. Die interessierenden geometrischen Parameter werden gleitend verändert. Bei einigen Ausführungsformen werden zusätzliche Parameter, wie beispielsweise die Intensität jeder unabhängigen Ordnung, ebenfalls gleitend verändert, um eine unvollkommene Entfernung von einem oder mehreren Merkmalen zu berücksichtigen, die nicht von dem kritischen Prozessschritt betroffen sind. In einigen Ausführungsformen wird eine Regression der kleinsten Quadrate auf den kombinierten Datensatz 159 unter Verwendung des vereinfachten Messantwortmodells 154 durchgeführt, um die Werte einer oder mehrerer kritischer Dimensionen zu schätzen. pixels ( ChangedStruct Sim ( SubsequentStruct Meas PriorStruct Meas ) ) 2
    Figure DE112020002023T5_0002
  • Gleichung (1) veranschaulicht eine beispielhafte Zielfunktion einer Regression der kleinsten Quadrate in einem nicht einschränkenden Beispiel. Wie in Gleichung (1) dargestellt, ist der Term ChangedStructSim die Ausgabe des vereinfachten Messantwortmodells. Dabei handelt es sich um simulierte Beugungssignale auf der Grundlage des vereinfachten geometrischen Modells, das sich nur auf die Merkmale der gemessenen Struktur konzentriert, die durch den kritischen Prozessschritt verändert werden. Der Term SubsequentStructMeas repräsentiert die Beugungssignale, die vom Röntgen-Scatterometrie-System nach dem kritischen Prozessschritt gemessen wurden, und der Term PriorStructMeas repräsentiert die Beugungssignale, die vom Röntgen-Scatterometrie-System vor dem kritischen Prozessschritt gemessen wurden. Der Differenzterm (SubsequentStructMeas - PriorStructMeas) ist der kombinierte Datensatz.
  • Gemäß einem anderen Aspekt umfasst die auf kombinierten Röntgen-Scatterometrie-Daten basierende Messtechnik (Metrologie) die Bestimmung der Abmessungen einer Probe auf der Grundlage des kombinierten Datensatzes und eines trainierten Signal-Antwort-Metrologie (SRM) -Modells. In diesen Beispielen werden die kritischen Abmessungen direkt mit den kombinierten Datensätzen ohne ein geometrisches Modell korreliert.
  • 3 zeigt eine Abbildung zur Veranschaulichung einer SRM-Modell-Trainingseinrichtung 170. Wie in 3 dargestellt, enthalten die Trainingsdaten Röntgen-Scatterometrie-Daten 172, die nach einem kritischen Prozessschritt gesammelt wurden, und Röntgen-Scatterometrie-Daten 171, die vor dem kritischen Prozessschritt gesammelt wurden. Die Datensätze 171 und 172 entsprechen Strukturen mit bekannten Werten für einen oder mehrere interessierende Parameter 179. Die Datensätze 171 und 172 werden durch das Normalisierungsmodul 155 wie oben beschrieben normalisiert, und die normalisierten Datensätze 173 und 174 werden an das Datendifferenzierungsmodul 158 weitergeleitet. Der kombinierte Datensatz 175 wird vom Datendifferenzierungsmodul 158 aus den normalisierten Datensätzen 173 und 174, wie oben beschrieben, erzeugt. Der kombinierte Datensatz 175 und die entsprechenden bekannten Werte eines oder mehrerer interessierender Parameter 179 werden an das SRM-Modell-Trainingsmodul 176 weitergeleitet. Das SRM-Modell-Trainingsmodul erzeugt ein trainiertes SRM-Modell 177 basierend auf dem kombinierten Datensatz 175 und den entsprechenden bekannten Werten eines oder mehrerer interessierender Parameter 179. Das trainierte SRM-Modell 177 wird im Speicher 135 abgelegt.
  • Die bekannten Werte eines oder mehrerer interessierender Parameter 179 werden von einem vertrauenswürdigen Messsystem wie einem Rasterelektronenmikroskop (Scanning Electron Microscope, SEM), einem Tunnelelektronenmikroskop (TEM), einem Rasterkraftmikroskop (Atomkraftmikroskop, AFM) oder einem Röntgenmesssystem wie einem Kleinwinkel-Röntgen-Scatterometer (SAXS) oder einem Röntgenfluoreszenzsystem (XRF) gemessen, das in der Lage ist, den Parameterwert genau zu messen. Das Referenzmesssystem (Referenzmetrologiesystem) ist jedoch in der Regel nicht in der Lage, als Inline-Metrologiesystem zu arbeiten, beispielsweise aufgrund des geringen Durchsatzes, der hohen Messunsicherheit bei der Messung einzelner Stellen und so weiter).
  • Bei einer bevorzugten Ausführungsform ist das SRM-Messmodell als ein neuronales Netzmodell implementiert. Bei anderen Beispielen kann das SRM-Messmodell als ein lineares Modell, ein Polynom-Modell, ein Response-Surface-Modell, ein Entscheidungsbaum-Modell, ein Random-Forest-Modell, ein Support-Vector-Machine-Modell oder andere Modelltypen implementiert werden.
  • Bei einigen Beispielen werden die Trainingsdatensätze 171 und 172 synthetisch erzeugt. Beispielsweise kann ein Prozesssimulator wie die Positive Resist Optical Lithography (PROLITH)-Simulationssoftware der KLA-Tencor Corporation, Milpitas, Kalifornien (USA), verwendet werden. Im Allgemeinen kann jedes Prozessmodellierungsverfahren oder -werkzeug im Rahmen dieses Patentdokuments in Betracht gezogen werden (beispielsweise Coventor-Simulationssoftware, erhältlich bei Coventor, Inc., Cary, North Carolina, USA). Bei diesen Ausführungsformen ist der kombinierte Datensatz 175 die kombinierten synthetischen Beugungsmuster und die bekannten Werte von einem oder mehreren interessierenden Parametern 179, welche in einfacher Weise die kritischen Abmessungen, die zur Synthetisierung der Beugungsmuster verwendet werden, sind.
  • Gemäß einem weiteren Aspekt wird ein trainiertes Signalantwort-Metrologiemodell verwendet, um Werte von interessierenden Strukturparametern mit unbekannten Werten basierend auf kombinierten Messsignalen zu schätzen.
  • 4 zeigt eine Abbildung zur Veranschaulichung einer SRM-Modell-Trainingseinrichtung 180. Wie in 4 dargestellt, werden Röntgen-Scatterometrie-Daten 126, die nach einem kritischen Prozessschritt gesammelt wurden, und Röntgen-Scatterometrie-Daten 127, die vor dem kritischen Prozessschritt gesammelt wurden, durch das Normalisierungsmodul 155, wie oben beschrieben, normalisiert. Die normalisierten Datensätze 156 und 157 werden an das Datendifferenzierungsmodul 158 weitergeleitet. Der kombinierte Datensatz 159 wird vom Datendifferenzierungsmodul 158 aus den normalisierten Datensätzen 156 und 157, wie oben beschrieben, erzeugt. Der kombinierte Datensatz 159 wird an das trainierte Signal-Antwort-Modell (SRM) 177 weitergeleitet. Das Signal-Antwort-Modell (SRM ) 177 erzeugt geschätzte Werte für einen oder mehrere interessierende Parameter 178. Die geschätzten Werte 178 werden im Speicher 135 gespeichert.
  • Gemäß einem anderen Aspekt basiert die Schätzung eines oder mehrerer interessierender geometrischer Parameter einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt auf tatsächlichen Röntgen-Scatterometrie-Messdaten, die der komplexen Halbleiterstruktur nach dem kritischen Prozessschritt zugeordnet sind, und auf simulierten Röntgen-Scatterometrie-Messdaten, die der komplexen Halbleiterstruktur vor dem kritischen Prozessschritt zugeordnet sind.
  • 5 zeigt eine Abbildung einer Ausführungsform einer Modellerstellungs- und - analysemaschine 200 zum Schätzen von Werten eines oder mehrerer geometrischer Parameter, die eine komplexe Halbleiterstruktur charakterisieren, basierend auf Röntgen-Scatterometrie-Messdaten, die der komplexen Halbleiterstruktur sowohl vor als auch nach einem kritischen Prozessschritt zugeordnet sind.
  • Wie in 5 dargestellt, erzeugt ein Modul 190 zur Erstellung eines Vorgänger-Strukturmodells ein geometrisches Modell 191 der gemessenen Struktur vor dem kritischen Prozessschritt. Das geometrische Modell 191 wird an ein Modul 192 zur Erstellung einer Funktion einer Vorgänger-Röntgen-Scatterometrie-Antwort weitergeleitet, das auf der Grundlage des geometrischen Modells 191 ein Modell für eine Vorgänger-Messantwort 193 erzeugt. Das Modell für eine Vorgänger-Messantwort 193 simuliert die Antwort der gemessenen Struktur auf die Messung durch ein Röntgen-Scatterometrie-System (beispielsweise das Metrologie-System 100) vor dem kritischen Prozessschritt. Das Modell für eine Vorgänger-Messantwort 193 wird an ein Anpassungsanalysemodul 198 weitergeleitet.
  • In ähnlicher Weise erzeugt das Modul 194 zur Erstellung eines Nachfolger-Strukturmodells ein geometrisches Modell 195 der gemessenen Struktur nach dem kritischen Prozessschritt. Das geometrische Modell 195 wird an ein Modul 196 zur Erstellung einer Funktion einer Nachfolger-Röntgen-Scatterometrie-Antwort übermittelt, das ein Modell 197 für eine Nachfolger-Messantwort basierend auf dem geometrischen Modell 195 erzeugt. Das Modell 197 für eine Nachfolger-Messantwort simuliert die Antwort der gemessenen Struktur auf die Messung durch ein Röntgen-Scatterometrie-System (beispielsweise das Metrologie-System 100) nach dem kritischen Prozessschritt. Das Modell 197 für eine Nachfolger-Messantwort wird an das Anpassungsanalysemodul 198 weitergeleitet.
  • Röntgen-Scatterometrie-Daten 126 werden von einem Wafer durch ein Röntgen-Scatterometrie-System wie das in 1 dargestellte Metrologie-System 100 gesammelt. Die Röntgen-Scatterometrie-Daten 126 werden gesammelt, nachdem ein kritischer Prozessschritt auf dem Wafer 101 durchgeführt wurde, und werden an das Anpassungsanalysemodul 198 übermittelt.
  • Das Anpassungsanalysemodul 198 führt eine Regression an dem gemessenen Datensatz 126 unter Verwendung des Modells 197 für eine Nachfolger-Messantwort und des Modells 193 für eine Vorgänger-Messantwort durch, um Werte einer oder mehrerer kritischer Dimensionen 199 zu schätzen. Die geschätzten Werte 199 werden im Speicher 135 abgelegt. Die interessierenden geometrischen Parameter werden gleitend verändert. In einigen Ausführungsformen werden zusätzliche Parameter, wie beispielsweise die Intensität jeder unabhängigen Ordnung, ebenfalls gleitend verändert, um eine unvollkommene Entfernung von einem oder mehreren Merkmalen zu berücksichtigen, die nicht von dem kritischen Prozessschritt betroffen sind. In einigen Ausführungsformen enthält eine Zielfunktion einer vom Anpassungsanalysemodul 198 durchgeführten Regression der kleinsten Quadrate sowohl einen Fehlerterm als auch einen Regularisierungsterm, wie in Gleichung (2) dargestellt. pixels ( ( SubsequentStruct Sim PriorStruct Meas ) ) 2 + ( λ PriorStruct Sim ) 2
    Figure DE112020002023T5_0003
  • Wie in Gleichung (2) dargestellt, ist der Term SubsequentStructSim die Ausgabe des Modells 197 für eine Nachfolger-Messantwort, und der Term SubsequentStuctMeas ist die gemessenen Daten 126. Die Differenz zwischen diesen Termen ist der Fehlerterm, der die Optimierung antreibt. Der Term PriorStructSim ist die Ausgabe des Modells 193 für eine Vorgänger-Messantwort. Der Koeffizient λ ist ein skalarwertiger Regularisierungsfaktor, der effektiv die Gewichtung des Regularisierungsterms festlegt. Bei dieser Optimierung bestraft der Regularisierungsterm die Intensitätsbeiträge der Vorgänger-Struktur. Dadurch werden Intensitätsbeiträge, das heißt die interessierenden geometrischen Parameter, hervorgehoben, die auf Teile der Struktur zurückzuführen sind, die durch den kritischen Prozessschritt verändert werden.
  • Im Allgemeinen können Messungen, die Instanzen einer gemessenen Struktur vor einem kritischen Prozessschritt zugeordnet sind, auf einem oder mehreren Wafern durchgeführt werden. In ähnlicher Weise können Messungen, die Instanzen einer gemessenen Struktur nach einem kritischen Prozessschritt zugeordnet sind, auf einem oder mehreren Wafern durchgeführt werden. In einigen Ausführungsformen ist es bevorzugt, Instanzen einer Struktur vor einem kritischen Prozessschritt auf einem Wafer (beispielsweise einem „goldenen“ Wafer) zu messen und Messungen, die Instanzen der gemessenen Struktur nach dem kritischen Prozessschritt zugeordnet sind, auf vielen verschiedenen Testwafern durchzuführen.
  • Wie hierin beschrieben, basiert die Schätzung eines oder mehrerer interessierender geometrischer Parameter einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt auf Röntgen-Scatterometrie-Messdaten, die der komplexen Halbleiterstruktur sowohl vor als auch nach dem kritischen Prozessschritt zugeordnet sind. Im Allgemeinen kann die Schätzung eines oder mehrerer interessierender geometrischer Parameter einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt auf Röntgen-Scatterometrie-Messdaten basieren, die der komplexen Halbleiterstruktur vor einer beliebigen Anzahl von Prozessschritten, sowohl kritischen als auch unkritischen, und nach der Anzahl von Prozessschritten zugeordnet sind.
  • Beispielsweise kann die Messung der Wolfram-Aussparung auf Messdaten basieren, die vor dem Wortleitungs-Schnitt, nach dem Wortleitungs-Schnitt oder nach dem Ersetzen des Nitrids durch Wolfram gesammelt wurden, zusätzlich zu den Messdaten, die nach dem Ätzen der Ausnehmung (Aussparung) gesammelt wurden.
  • Gemäß einer weiteren Ausführungsform umfasst das System 100 ein oder mehrere Rechensysteme 130, die zur Durchführung von Röntgen-Scatterometrie-Messungen, wie hier beschrieben, eingesetzt werden. Das eine oder die mehreren Rechnersysteme 130 sind kommunikativ mit dem Detektor 116 gekoppelt. Gemäß einem Aspekt sind das eine oder die mehreren Rechnersysteme 130 so konfiguriert, dass sie Messdaten 126 empfangen, die Messungen der Struktur der Probe 101 zugeordnet sind. Gemäß einem anderen Aspekt sind das eine oder die mehreren Computersysteme 130 so konfiguriert, dass sie Messdaten empfangen, die Instanzen der gemessenen Struktur bei beliebigen vorherigen Prozessschritten zugeordnet sind.
  • Es sollte anerkannt werden, dass die verschiedenen Schritte, die in der vorliegenden Offenbarung beschrieben werden, von einem einzelnen Rechensystem 130 oder alternativ von mehreren Rechensystemen 130 ausgeführt werden können. Darüber hinaus können verschiedene Subsysteme des Systems 100, wie beispielsweise der Detektor 116 oder die Röntgenquelle 110, ein Rechensystem umfassen, das für die Ausführung von zumindest einem Teil der hier beschriebenen Schritte geeignet ist. Daher sollte die vorstehende Beschreibung nicht als Einschränkung der vorliegenden Erfindung, sondern lediglich als Veranschaulichung verstanden werden. Darüber hinaus können das eine oder die mehreren Rechensysteme 130 so konfiguriert sein, dass sie einen beliebigen anderen Schritt beziehungsweise beliebige andere Schritte einer beliebigen der hierin beschriebenen Ausführungsformen des Verfahrens ausführen.
  • Darüber hinaus kann das Computersystem 130 mit dem Detektor 116 auf eine beliebige aus dem Stand der Technik bekannte Weise kommunikativ gekoppelt sein. Beispielsweise können das eine oder die mehreren Rechensysteme 130 mit Rechensystemen gekoppelt sein, die mit dem Detektor 116 verbunden sind. In einem anderen Beispiel kann der Detektor 116 direkt von einem einzelnen Rechensystem gesteuert werden, das mit dem Rechensystem 130 gekoppelt ist.
  • Das Rechensystem 130 des Metrologie-Systems 100 kann so konfiguriert sein, dass es Daten oder Informationen von den Subsystemen des Systems (beispielsweise Detektor 116 und dergleichen) über ein Übertragungsmedium empfängt und/oder erfasst, das drahtgebundene und/oder drahtlose Abschnitte umfassen kann. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Rechensystem 130 und anderen Systemen oder Subsystemen des Systems 100 dienen.
  • Das Rechensystem 130 des Metrologie-Systems 100 kann so konfiguriert sein, dass es Daten oder Informationen (beispielsweise Messergebnisse, Modellierungseingaben, Modellierungsergebnisse und so weiter) von anderen Systemen über ein Übertragungsmedium empfängt und/oder erfasst, das drahtgebundene und/oder drahtlose Abschnitte enthalten kann. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Rechensystem 130 und anderen Systemen dienen (beispielsweise Metrologie-System 100 mit einem on-board-Speicher, externer Speicher, Prozess-/Bearbeitungswerkzeuge, eine Referenzmessquelle oder andere externe Systeme). Beispielsweise kann das Rechensystem 130 so konfiguriert sein, dass es Messdaten von einem Speichermedium (das heißt dem Speicher 132 oder einem externen Speicher) über eine Datenverbindung empfängt. Beispielsweise können die mit dem Detektor 116 gewonnenen Messergebnisse in einem permanenten oder semipermanenten Speichermedium (beispielsweise Speicher 132 oder ein externer Speicher) gespeichert werden. In diesem Zusammenhang können die spektralen Ergebnisse aus dem on-board-Speicher oder aus einem externen Speichersystem importiert werden. Außerdem kann das Rechensystem 130 Daten über ein Übertragungsmedium an andere Systeme senden. Beispielsweise kann ein vom Rechensystem 130 ermitteltes Messmodell oder Strukturparameterwert kommuniziert und in einem externen Speicher (beispielsweise Speicher 135) abgelegt werden. In diesem Zusammenhang können die Messergebnisse an ein anderes System exportiert werden.
  • Das Rechensystem 130 kann ein Personalcomputersystem, ein Großrechnersystem, eine Workstation, einen Bildcomputer, einen Parallelprozessor oder ein beliebiges anderes aus dem Stand der Technik bekanntes Gerät umfassen, ist jedoch nicht darauf beschränkt. Im Allgemeinen kann der Begriff „Rechensystem“ weit gefasst werden und umfasst jedes Gerät mit einem oder mehreren Prozessoren, die Anweisungen von einem Speichermedium ausführen.
  • Programmanweisungen 134, die Verfahren wie die hier beschriebenen implementieren, können über ein Übertragungsmedium wie beispielsweise eine Draht-, Kabel- oder drahtlose Übertragungsverbindung übertragen werden. Beispielsweise werden, wie in 1 dargestellt, im Speicher 132 gespeicherte Programmanweisungen 134 über einen Bus 133 an einen Prozessor 131 übertragen. Die Programmanweisungen 134 sind in einem computerlesbaren Medium (beispielsweise im Speicher 132) gespeichert. Beispielhafte computerlesbare Medien umfassen einen Festwertspeicher (ROM), einen Direktzugriffsspeicher (RAM), eine magnetische oder optische Platte oder ein Magnetband.
  • 19 zeigt ein Verfahren 300, das zur Implementierung durch ein Messsystem wie das in 1 der vorliegenden Erfindung dargestellte Metrologie-System 100 geeignet ist. Gemäß einem Aspekt wird anerkannt, dass die Datenverarbeitungsblöcke des Verfahrens 300 über einen vorprogrammierten Algorithmus ausgeführt werden können, der von einem oder mehreren Prozessoren des Rechensystems 130 oder einem beliebigen anderen Allzweck-Rechnersystem ausgeführt wird. Es wird hier anerkannt, dass die besonderen strukturellen Aspekte des Metrologie-Systems 100 keine Einschränkungen darstellen und nur als veranschaulichend zu verstehen sind.
  • In Block 301 wird eine erste Menge an Beleuchtungsstrahlung an eine oder mehrere nachfolgende Instanzen einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt eines Halbleiterherstellungsprozessablaufs bereitgestellt.
  • In Block 302 wird eine erste Menge an Strahlung von der einen oder den mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur als Antwort auf die erste Menge an Beleuchtungsstrahlung erfasst.
  • In Block 303 wird eine erste Menge an Messdaten als Antwort auf die erste Menge an erfasster Strahlung erzeugt.
  • In Block 304 wird ein Wert eines interessierenden Strukturparameters der einen oder mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur auf der Grundlage der ersten Menge von Messdaten und einer zweiten Menge von Messdaten geschätzt, die eine Messung einer oder mehrerer vorhergehender Instanzen der komplexen Halbleiterstruktur durch das Röntgen-Scatterometer vor dem kritischen Prozessschritt des Herstellungsprozessablaufs anzeigen.
  • Im Allgemeinen kann jede Scatterometrie-Messtechnik oder eine Kombination von zwei oder mehr Scatterometrie-Messtechniken im Rahmen dieses Patentdokuments in Betracht gezogen werden. Beispielhafte Messtechniken umfassen, sind jedoch nicht darauf beschränkt, spektroskopische Ellipsometrie, einschließlich Mueller-Matrix-Ellipsometrie, spektroskopische Reflektometrie, spektroskopische Scatterometrie, Scatterometrie-Overlay, Strahlprofil-Reflektometrie, sowohl winkelaufgelöst als auch polarisationsaufgelöst, Strahlprofil-Ellipsometrie, Ellipsometrie mit einer oder mehreren diskreten Wellenlängen, Scatterometer für Transmissions-Kleinwinkel-Röntgenstreuungsübertragung (TSAXS), Kleinwinkel-Röntgenstreuung (SAXS), Kleinwinkel-Röntgenstreuung unter streifendem Einfall (GISAXS), Weitwinkel-Röntgenstreuung (WAXS), Röntgenreflexion (XRR), Röntgenbeugung (Röntgendiffraktion, XRD), Röntgenbeugung unter streifendem Einfall (GIXRD), hochauflösende Röntgenbeugung (HRXRD), Röntgen-Photoelektronen-Spektroskopie (XPS), Röntgenfluoreszenz (XRF), Röntgenfluoreszenz mit streifendem Einfall (GIXRF), elektroneninduzierte Röntgenemission mit niedrigen Anregungsenergien (LEXES), Röntgentomographie und Röntgenellipsometrie. Generell kann jede Mess- beziehungsweise Metrologietechnik, die für die Charakterisierung von Halbleiterstrukturen geeignet ist, einschließlich bildbasierter Mess- beziehungsweise Metrologietechniken, in Betracht gezogen werden. Zusätzliche Sensoroptionen umfassen elektrische Sensoren wie beispielsweise berührungslose Kapazitäts-/Spannungs- oder Strom-/Spannungssensoren, die das Bauelement vorspannen und die resultierende Vorspannung mit einem optischen Sensor detektieren (oder umgekehrt), oder unterstützte optische Techniken wie beispielsweise XRD, XRF, XPS, LEXES, SAXS und Pumpsondenverfahren. In einer Ausführungsform kann ein zweidimensionales Strahlprofil-Reflektometer (Pupil-Imager, Abbildungsmittel für die Pupille) verwendet werden, um sowohl winkelaufgelöste und/oder multispektrale Daten in einer kleinen Spotgröße zu sammeln. Ein UV-Linnik-Interferometer kann auch als Mueller-Matrix-Spektralpupillen-Imager verwendet werden.
  • In einigen Beispielen werden die hier beschriebenen Verfahren zur Modellerstellung, zum Training und zur Messung als ein Element eines SpectraShape® Systems zur optischen Messung kritischer Abmessungen implementiert, das von der KLA-Tencor Corporation, Milpitas, Kalifornien, USA, erhältlich ist. Auf diese Weise wird das Modell erstellt und ist sofort einsatzbereit, nachdem die DOE-Waferspektren (DOE ist die Abkürzung für das englische „design of experiments“ (Versuchsplanung)) vom System erfasst wurden.
  • In einigen anderen Beispielen werden die hier beschriebenen Modellerstellungs- und Trainingsverfahren offline implementiert, beispielsweise durch ein Computersystem, das die AcuShape®-Software implementiert, die von der KLA-Tencor Corporation, Milpitas, Kalifornien, USA, erhältlich ist. Das resultierende trainierte Modell kann als Element in eine AcuShape®-Bibliothek aufgenommen werden, auf die ein Metrologie-System, das Messungen durchführt, zugreifen kann.
  • Im Allgemeinen können die hier vorgestellten Verfahren und Systeme zur Durchführung der Halbleitermetrologie direkt auf tatsächliche Bauelementestrukturen oder auf dedizierte Metrologieziele (beispielsweise Proxy-Strukturen) angewendet werden, die sich im Die oder innerhalb von Ritzrahmen befinden.
  • Gemäß einem weiteren Aspekt können die hier beschriebenen Messtechniken verwendet werden, um ein aktives Feedback an ein Prozesswerkzeug (beispielsweise Lithographie-Werkzeug, Ätzwerkzeug, Abscheidungswerkzeug und so weiter) zu liefern. Beispielsweise können Werte der Strukturparameter, die mit den hier beschriebenen Verfahren ermittelt wurden, an ein Lithografie-Werkzeug übermittelt werden, um das Lithografiesystem so einzustellen, dass ein gewünschtes Ergebnis erzielt wird. In ähnlicher Weise können Ätzparameter (beispielsweise Ätzzeit, Diffusivität und so weiter) oder Depositionsparameter (beispielsweise Zeit, Konzentration und so weiter) in ein Messmodell aufgenommen werden, um Ätzwerkzeugen beziehungsweise Depositionswerkzeugen aktives Feedback zu geben.
  • Im Allgemeinen können die hier beschriebenen Systeme und Verfahren als Teil eines dedizierten Metrologie-Tools implementiert werden, oder alternativ als Teil eines Prozess-Tools (beispielsweise Lithographie-Tool, Ätz-Tool, etc.).
  • Wie hierin beschrieben, umfasst der Begriff „kritische Abmessung“ eine beliebige kritische Abmessung einer Struktur (beispielsweise untere kritische Abmessung, mittlere kritische Abmessung, obere kritische Abmessung, Seitenwandwinkel, Gitterhöhe und so weiter), eine kritische Abmessung zwischen zwei oder mehr Strukturen (beispielsweise Abstand zwischen zwei Strukturen) und eine Versatz zwischen zwei oder mehr Strukturen (beispielsweise Overlay-Versatz zwischen übereinander liegenden Gitterstrukturen und so weiter). Strukturen können dreidimensionale Strukturen, gemusterte Strukturen, Overlay-Strukturen und so weiter umfassen.
  • Wie hierin beschrieben, umfasst der Begriff „Anwendung mit kritischen Abmessungen“, „Anwendung mit kritischen Dimensionen“ oder „Messanwendung mit kritischen Abmessungen“ eine beliebige Messung mit kritischen Abmessungen.
  • Wie hierin beschrieben, umfasst der Begriff „Messsystem“ beziehungsweise „Metrologie-System“ jedes System, das zumindest teilweise zur Charakterisierung einer Probe in einem beliebigen Aspekt eingesetzt wird, einschließlich Messanwendungen wie die Messung beziehungsweise Metrologie kritischer Dimensionen, die Overlay-Metrologie, die Fokus-/Dosierungs-Metrologie und die Zusammensetzungs-Metrologie. Diese Begriffe schränken jedoch den Umfang des Begriffs „Metrologie-System“, wie er hier beschrieben wird, nicht ein. Darüber hinaus kann ein Metrologie-System für die Messung von gemusterten beziehungsweise strukturierten Wafern und/oder ungemusterten beziehungsweise blanken Wafern konfiguriert werden. Das Metrologie-System kann als ein LED-Inspektionstool, Kanteninspektionstool, Rückseiteninspektionstool, Makroinspektionstool oder Multimode-Inspektionstool (mit Daten von einer oder mehreren Plattformen gleichzeitig) und jedes andere Metrologie- oder Inspektionstool konfiguriert werden, das von den hier beschriebenen Messtechniken profitiert.
  • Hierin werden verschiedene Ausführungsformen für ein Halbleiterbearbeitungssystem (beispielsweise ein Inspektionssystem oder ein Lithografiesystem) beschrieben, das für die Bearbeitung einer Probe verwendet werden kann. Der Begriff „Probe“ wird hier verwendet, um sich auf einen Wafer, ein Retikel oder eine beliebige andere Probe zu beziehen, die mit in der Technik bekannten Mitteln bearbeitet (beispielsweise bedruckt oder auf Defekte untersucht) werden kann.
  • Wie hier verwendet, bezieht sich der Begriff „Wafer“ im Allgemeinen auf Substrate, die aus einem Halbleiter- oder Nicht-Halbleitermaterial hergestellt sind. Beispiele sind unter anderem monokristallines Silizium, Galliumarsenid und Indiumphosphid, worauf die Erfindung jedoch nicht beschränkt ist. Solche Substrate können üblicherweise in Halbleiterfertigungsanlagen gefunden und/oder verarbeitet werden. In einigen Fällen kann ein Wafer nur das Substrat (das heißt den nackten Wafer) umfassen. Alternativ kann ein Wafer eine oder mehrere Schichten aus verschiedenen Materialien enthalten, die auf einem Substrat gebildet werden. Eine oder mehrere Schichten, die auf einem Wafer gebildet werden, können „gemustert“ beziehungsweise „strukturiert“ oder „ungemustert“ beziehungsweise „blank“ sein. Beispielsweise kann ein Wafer eine Vielzahl von Dies mit wiederholbaren Muster-/Strukturmerkmalen enthalten.
  • Ein „Retikel“ kann ein Retikel in einem beliebigen Stadium eines Retikel-Fertigungsprozesses oder ein fertiges Retikel sein, das zur Verwendung in einer Halbleiterfertigungsanlage freigegeben werden kann oder nicht. Ein Retikel oder eine „Maske“ ist im Allgemeinen als ein im Wesentlichen transparentes Substrat definiert, auf dem im Wesentlichen undurchsichtige (opake) Bereiche ausgebildet und in einem Muster (Struktur) konfiguriert sind. Das Substrat kann beispielsweise ein Glasmaterial wie amorphes SiO2 enthalten. Ein Retikel kann während eines Belichtungsschrittes eines Lithographieprozesses über einem mit Resist bedeckten Wafer angeordnet werden, so dass das Muster (Struktur) auf dem Retikel auf den Resist übertragen werden kann.
  • Eine oder mehrere Schichten, die auf einem Wafer gebildet werden, können strukturiert oder unstrukturiert sein. Zum Beispiel kann ein Wafer eine Vielzahl von Dies enthalten, die jeweils wiederholbare Mustermerkmale aufweisen. Die Bildung und Verarbeitung solcher Materialschichten kann letztendlich zu fertigen Bauelementen führen. Viele verschiedene Arten von Bauelementen können auf einem Wafer gebildet werden, und der Begriff „Wafer“, wie er hier verwendet wird, soll einen Wafer umfassen, auf dem jede aus dem Stand der Technik bekannte Art von Bauelement hergestellt wird.
  • In einer oder mehreren beispielhaften Ausführungsformen können die beschriebenen Funktionen in Hardware, Software, Firmware oder einer Kombination davon implementiert sein. Wenn sie in Software implementiert sind, können die Funktionen als eine oder mehrere Anweisungen oder Code auf einem computerlesbaren Medium gespeichert oder darüber übertragen werden. Computerlesbare Medien umfassen sowohl Computerspeichermedien als auch Kommunikationsmedien, einschließlich aller Medien, die die Übertragung eines Computerprogramms von einem Ort zu einem anderen ermöglichen. Ein Speichermedium kann jedes verfügbare Medium sein, auf das ein Allzweck- oder Spezialcomputer zugreifen kann. Beispielhaft und ohne Einschränkung der Erfindung können solche computerlesbaren Medien RAM, ROM, EEPROM, CD-ROM oder andere optische Plattenspeicher, Magnetplattenspeicher oder andere magnetische Speichervorrichtungen oder jedes andere Medium umfassen, das dazu verwendet werden kann, gewünschte Programmcodemittel in Form von Befehlen oder Datenstrukturen zu tragen oder zu speichern, und auf das von einem Allzweck- oder Spezialcomputer oder einem Allzweck- oder Spezialprozessor zugegriffen werden kann. Auch jede Verbindung wird richtigerweise als computerlesbares Medium bezeichnet. Wenn die Software beispielsweise von einer Website, einem Server oder einer anderen entfernten Quelle über ein Koaxialkabel, ein Glasfaserkabel, eine verdrillte Zweidrahtleitung, eine digitale Teilnehmerleitung (DSL) oder drahtlose Technologien wie beispielsweise Infrarot, Funk und Mikrowellen übertragen wird, dann fallen das Koaxialkabel, das Glasfaserkabel, die verdrillte Zweidrahtleitung, DSL oder drahtlose Technologien wie beispielsweise Infrarot, Funk und Mikrowellen unter die Definition des Begriffs „Medium“. Disk und Disc, wie hier verwendet, umfassen Compact Disc (CD), Laser Disc, Optical Disc, Digital Versatile Disc (DVD), Floppy Disk und Blu-Ray Disc, wobei Disks (Disketten, Scheiben, Platten) Daten in der Regel magnetisch reproduzieren, während Discs (Scheiben, Platten) Daten optisch mit Lasern reproduzieren. Kombinationen der oben genannten sollten ebenfalls in den Bereich der computerlesbaren Medien fallen.
  • Obwohl die vorliegende Offenbarung zur Veranschaulichung der Erfindung in Bezug auf eine oder mehrere bestimmte Ausführungsformen beschrieben wurde, ist es offensichtlich und klar, dass die Erfindung nicht auf die oben beschriebenen spezifischen Ausführungsformen beschränkt ist, die Lehren dieses Patentdokuments allgemeine Anwendbarkeit haben und daher andere Ausführungsformen der vorliegenden Offenbarung denkbar sind, ohne vom Umfang der vorliegenden Offenbarung abzuweichen. Dementsprechend können verschiedene Modifikationen, Anpassungen und Kombinationen von verschiedenen Merkmalen der beschriebenen Ausführungsformen praktiziert werden, ohne vom Umfang der Erfindung, wie in den Ansprüchen dargelegt, abzuweichen. Daher wird die vorliegende Offenbarung nur durch die beigefügten Ansprüche beschränkt.
  • Bezugszeichenliste
  • 100
    Metrologie-Werkzeug, Metrologie-System
    101
    Probe, Wafer
    102
    Messbereich, Inspektionsbereich
    110
    Röntgenbeleuchtungsquelle
    115
    Röntgenoptik
    116
    Röntgendetektor
    117
    Röntgenstrahl
    125
    Röntgenstrahlung
    126
    Ausgangssignal, Röntgen-Scatterometrie-Messdaten, Datensatz
    127
    Röntgen-Scatterometrie-Messdaten, Datensatz
    128
    Messmodell
    130
    Rechensystem, Computersystem
    131
    Prozessor
    132
    Speicher
    133
    Bus
    134
    Programmanweisung
    135
    Speicher
    140
    Probenpositionierungssystem
    150
    Modellerstellungs- und -analysemaschine
    151
    Modul zur Erstellung eines vereinfachten Strukturmodells
    152
    vereinfachtes geometrisches Modell
    153
    Modul zur Erstellung eines Modells für eine Röntgen-Scatterometrie-Antwortfunktion
    154
    vereinfachtes Messantwortmodell
    155
    Normalisierungsmodul
    156
    normalisierter Datensatz
    157
    normalisierter Datensatz
    158
    Datendifferenzierungsmodul
    159
    kombinierter Datensatz
    160
    Anpassungsanalysemodul
    161
    geschätzte kritische Dimensionen
    170
    SRM-Modell-Trainingseinrichtung
    171
    Röntgen-Scatterometrie-Daten
    172
    Röntgen-Scatterometrie-Daten
    173
    normalisierter Datensatz
    174
    normalisierter Datensatz
    175
    kombinierter Datensatz
    176
    SRM-Modell-Trainingsmodul
    177
    trainiertes Signal-Antwort-Modell (SRM)
    178
    geschätzte Werte für einen oder mehrere interessierende Parameter
    179
    interessierende Parameter
    180
    SRM-Modell-Trainingseinrichtung
    190
    Modul zur Erstellung eines Vorgänger-Strukturmodells
    191
    geometrisches Modell
    192
    Modul zur Erstellung einer Funktion einer Vorgänger-Röntgen-Scatterometrie-Antwort
    193
    Modell für eine Vorgänger-Messantwort
    194
    Modul zur Erstellung eines Nachfolger-Strukturmodells
    195
    geometrisches Modell
    196
    Modul zur Erstellung einer Funktion einer Nachfolger-Röntgen-Scatterometrie-Antwort
    197
    Modell für eine Nachfolger-Messantwort
    198
    Anpassungsanalysemodul
    199
    kritische Dimensionen
    200
    Modellerstellungs- und -analysemaschine
    201
    Oxidschicht
    203
    Lochmuster
    204
    Schlitz
    205
    Struktur
    206
    Bild, Beugungsmuster
    207
    Kanalloch
    208
    Wortleitungs-Schnittstruktur
    209
    Wolframschicht
    210A
    Mehrschichtstruktur
    210B
    Mehrschichtstruktur
    211
    Wolfram-Aussparungsstruktur
    212
    Bild, Beugungsmuster
    215A
    Struktur
    215B
    Struktur
    216
    Bild
    217
    Bild
    220
    Mehrschichtstruktur
    221
    Oxidschicht
    222
    Nitridschicht
    223
    Kanalloch
    225
    Mehrschichtstruktur
    226
    Auskleidung
    227
    Auskleidung
    300
    Verfahren
    CD
    Aussparungsabstand
    CD1
    Dicke
    CD2
    Dicke
    W
    Breite
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/836261 [0001]
    • US 7929667 B1 [0026]
    • US 2015/0110249 A1 [0029]

Claims (20)

  1. Metrologie-System umfassend ein Röntgen-Scatterometer, umfassend: eine Beleuchtungsquelle, die eine erste Menge an Beleuchtungsstrahlung an eine oder mehrere nachfolgende Instanzen einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt eines Prozessablaufs in einer Halbleiterherstellung liefert; und einen Detektor, der eine erste Menge an Strahlung von der einen oder den mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur als Antwort auf die erste Menge an Beleuchtungsstrahlung erfasst und eine erste Menge an Messdaten als Antwort auf die erste Menge an erfasster Strahlung erzeugt; und ein Rechensystem, das so konfiguriert ist, dass es einen Wert eines interessierenden Strukturparameters der einen oder der mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur auf Grundlage der ersten Menge an Messdaten und einer zweiten Menge an Messdaten schätzt, die eine Messung von einer oder mehreren vorhergehenden Instanzen der komplexen Halbleiterstruktur durch das Röntgen-Scatterometer vor dem kritischen Prozessschritt im Ablauf der Halbleiterherstellung anzeigt.
  2. Metrologie-System nach Anspruch 1, wobei das Rechensystem weiterhin konfiguriert ist zum: Kombinieren der ersten Menge an Messdaten mit der zweiten Menge an Messdaten, um eine Menge an kombinierten Messdaten zu erzeugen, wobei das Schätzen des Wertes des interessierenden Strukturparameters auf der Menge der kombinierten Messdaten basiert.
  3. Metrologie-System nach Anspruch 1, wobei das Rechensystem weiterhin konfiguriert ist zum: Erzeugen eines Modells für eine geometrisch parametrisierte Messantwort, das ein oder mehrere geometrische Merkmale umfasst, die von dem kritischen Prozessschritt betroffen sind, und nicht ein oder mehrere geometrische Merkmale umfasst, die nicht von dem kritischen Prozessschritt betroffen sind, wobei das Schätzen des interessierenden Strukturparameters ein Minimieren einer Zielfunktion umfasst, die eine Differenz zwischen dem kombinierten Messdatensatz und einem simulierten Datensatz enthält, der sich aus dem Modell für eine geometrisch parametrisierten Messantwort ergibt.
  4. Metrologie-System nach Anspruch 2, wobei das Beleuchtungsquellen-Rechnersystem ferner konfiguriert ist zum: Bereitstellen einer zweiten Menge an Beleuchtungsstrahlung für eine oder mehrere nachfolgende Instanzen der komplexen Halbleiterstruktur mit bekannten Werten des interessierenden Strukturparameters nach dem kritischen Prozessschritt des Prozessablaufs in einer Halbleiterherstellung, wobei der Detektor weiterhin konfiguriert ist zum: Erfassen einer zweiten Strahlungsmenge von der einen oder den mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur als Antwort auf die zweite Menge an Beleuchtungsstrahlung und Erzeugen eines Messdatensatzes für eine Versuchsplanung (DOE) als Antwort auf die zweite Menge an erfasster Strahlung, und wobei das Rechensystem ferner konfiguriert ist zum: Kombinieren des DOE-Messdatensatzes mit einer zweiten Menge von DOE-Messdaten, um eine Menge von kombinierten DOE-Messdaten zu erzeugen, wobei die zweite Menge von DOE-Messdaten eine Messung von einer oder mehreren vorhergehenden Instanzen der komplexen Halbleiterstruktur mit bekannten Werten des interessierenden Strukturparameters durch das Röntgen-Scatterometer vor dem kritischen Prozessschritt des Herstellungsprozessablaufs anzeigt, und Trainieren eines Modells für eine Signal-Antwort-Metrologie auf Grundlage der Menge der kombinierten DOE-Messdaten und der bekannten Werte des interessierenden Strukturparameters.
  5. Metrologie-System nach Anspruch 4, wobei die Menge der kombinierten Messdaten als Eingabe für das trainierte Modell für eine Signal-Antwort-Metrologie bereitgestellt wird, und wobei eine Ausgabe des trainierten Modells für eine Signal-Antwort-Metrologie, die der Menge der kombinierten Messdaten entspricht, die als Eingabe bereitgestellt wird, der Wert des interessierenden Strukturparameters ist.
  6. Metrologie-System nach Anspruch 2, wobei das Rechensystem weiterhin konfiguriert ist zum: Normalisieren der ersten und zweiten Menge von Messdaten auf der Grundlage von Werten eines oder mehrerer Systemparameter, die der Messung der ersten beziehungsweise zweiten Menge von Messdaten zugeordnet sind.
  7. Metrologie-System nach Anspruch 6, wobei das Kombinieren der ersten Menge an Messdaten mit der zweiten Menge an Messdaten zum Erzeugen der Menge an kombinierten Messdaten ein Bestimmen einer Differenz zwischen der ersten Menge an Messdaten und der zweiten Menge an Messdaten auf einer pixelweisen Basis umfasst.
  8. Metrologie-System nach Anspruch 1, wobei die zweite Menge an Messdaten durch eine Messung der einen oder mehreren vorhergehenden Instanzen der komplexen Halbleiterstruktur durch das Röntgen-Scatterometer vor dem kritischen Prozessschritt des Herstellungsprozessablaufs erzeugt wird.
  9. Metrologie-System nach Anspruch 1, wobei die zweite Menge an Messdaten durch Löschen eines interessierenden Bereichs aus der ersten Menge an Messdaten und Ersetzen des gelöschten interessierenden Bereichs durch Signale, die durch einen Patch-Matching-Algorithmus erzeugt wurden, erzeugt wird.
  10. Metrologie-System nach Anspruch 1, wobei die eine oder mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur auf einem oder mehreren Wafern angeordnet sind.
  11. Metrologie-System nach Anspruch 10, wobei die eine oder die mehreren vorhergehenden Instanzen der komplexen Halbleiterstruktur auf einem oder mehreren Wafern angeordnet sind, die sich von dem einen oder den mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur unterscheiden.
  12. Metrologie-System nach Anspruch 10, wobei die eine oder die mehreren vorhergehenden Instanzen der komplexen Halbleiterstruktur auf demselben einen Wafer oder denselben mehreren Wafern angeordnet sind, auf denen die eine oder die mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur angeordnet sind.
  13. Metrologie-System nach Anspruch 1, wobei die Beleuchtungsquelle die erste Menge an Beleuchtungsstrahlung in einer Vielzahl von unterschiedlichen Orientierungen in Bezug auf die komplexe Halbleiterstruktur bereitstellt.
  14. Metrologie-System nach Anspruch 1, wobei der kritische Prozessschritt ein beliebiger der folgenden ist: ein lithographischer Prozessschritt, ein Abscheidungsprozessschritt und ein Ätzprozessschritt.
  15. Metrologie-System nach Anspruch 1, wobei der interessierende Strukturparameter eine Schichtdicke nach einem Abscheidungsprozessschritt oder eine Materialvertiefungstiefe nach einem Ätzprozessschritt ist.
  16. Metrologie-System nach Anspruch 1, wobei das Schätzen des Wertes des interessierenden Strukturparameters ein Minimieren einer Zielfunktion umfasst, die einen Differenzterm und einen Regularisierungsterm umfasst, wobei der Differenzterm eine Differenz zwischen der ersten Menge an Messdaten und einer ersten Menge an simulierten Messdaten umfasst, die die Messung der einen oder mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur nach dem kritischen Prozessschritt durch das Röntgen-Scatterometer simuliert, und wobei der Regularisierungsterm eine zweite Menge an simulierten Messdaten umfasst, die die Messung der einen oder mehreren vorhergehenden Instanzen der komplexen Halbleiterstruktur vor dem kritischen Prozessschritt durch das Röntgen-Scatterometer simuliert.
  17. Verfahren umfassend: Bereitstellen einer ersten Menge an Beleuchtungsstrahlung an eine oder mehrere nachfolgende Instanzen einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt eines Prozessablaufs in einer Halbleiterherstellung; Erfassen einer ersten Menge an Strahlung von der einen oder den mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur als Antwort auf die erste Menge an Beleuchtungsstrahlung; Erzeugen einer ersten Menge an Messdaten als Antwort auf die erste Menge an erfasster Strahlung; und Schätzen eines Wertes eines interessierenden Strukturparameters der einen oder der mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur auf der Grundlage der ersten Menge an Messdaten und einer zweiten Menge an Messdaten, die eine Messung von einer oder mehreren vorhergehenden Instanzen der komplexen Halbleiterstruktur durch das Röntgen-Scatterometer vor dem kritischen Prozessschritt im Ablauf der Halbleiterherstellung anzeigt.
  18. Verfahren nach Anspruch 17, ferner umfassend: Kombinieren der ersten Menge an Messdaten mit der zweiten Menge an Messdaten, um eine Menge an kombinierten Messdaten zu erzeugen, wobei das Schätzen des Wertes des interessierenden Strukturparameters auf der Menge an kombinierten Messdaten basiert, wobei das Kombinieren der ersten Menge an Messdaten mit der zweiten Menge an Messdaten, um die Menge an kombinierten Messdaten zu erzeugen, ein Bestimmen einer Differenz zwischen der ersten Menge an Messdaten und der zweiten Menge an Messdaten auf einer pixelweisen Basis umfasst.
  19. Verfahren nach Anspruch 17, ferner umfassend: Normalisieren der ersten und zweiten Menge an Messdaten auf der Grundlage von Werten eines oder mehrerer Systemparameter, die der Messung der ersten beziehungsweise zweiten Menge an Messdaten zugeordnet sind.
  20. Metrologie-System, umfassend ein Röntgen-Scatterometer, umfassend: eine Beleuchtungsquelle, die eine erste Menge an Beleuchtungsstrahlung an eine oder mehrere nachfolgende Instanzen einer komplexen Halbleiterstruktur nach einem kritischen Prozessschritt eines Prozessablaufs in einer Halbleiterherstellung liefert; und einen Detektor, der eine erste Menge an Strahlung von der einen oder den mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur als Antwort auf die erste Menge an Beleuchtungsstrahlung erfasst und eine erste Menge an Messdaten als Antwort auf die erste Menge an erfasster Strahlung erzeugt; und ein nicht-transitorisches, computerlesbares Medium, umfassend Code, um ein Rechensystem zu veranlassen, einen Wert eines interessierenden Strukturparameters der einen oder mehreren nachfolgenden Instanzen der komplexen Halbleiterstruktur auf der Grundlage der ersten Menge an Messdaten und einer zweiten Menge an Messdaten zu schätzen, die eine Messung einer oder mehrerer vorhergehender Instanzen der komplexen Halbleiterstruktur durch das Röntgen-Scatterometer vor dem kritischen Prozessschritt des Herstellungsprozessablaufs anzeigt.
DE112020002023.1T 2019-04-19 2020-04-16 Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung Pending DE112020002023T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962836261P 2019-04-19 2019-04-19
US62/836,261 2019-04-19
US16/847,388 2020-04-13
US16/847,388 US11990380B2 (en) 2019-04-19 2020-04-13 Methods and systems for combining x-ray metrology data sets to improve parameter estimation
PCT/US2020/028386 WO2020214745A1 (en) 2019-04-19 2020-04-16 Methods and systems for combining x-ray metrology data sets to improve parameter estimation

Publications (1)

Publication Number Publication Date
DE112020002023T5 true DE112020002023T5 (de) 2022-01-05

Family

ID=72830850

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112020002023.1T Pending DE112020002023T5 (de) 2019-04-19 2020-04-16 Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung

Country Status (9)

Country Link
US (1) US11990380B2 (de)
JP (1) JP2022529043A (de)
KR (1) KR20210143922A (de)
CN (1) CN113677983B (de)
DE (1) DE112020002023T5 (de)
IL (1) IL287034A (de)
SG (1) SG11202111073XA (de)
TW (1) TW202104841A (de)
WO (1) WO2020214745A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230258585A1 (en) * 2022-02-16 2023-08-17 Kla Corporation Semiconductor Measurements With Robust In-Line Tool Matching

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018154587A1 (en) * 2017-02-27 2018-08-30 Nova Measuring Instruments Ltd. Tem-based metrology method and system
US11876022B2 (en) * 2019-12-17 2024-01-16 Tokyo Electron Limited Substrate treatment method and substrate treatment system
JP7191902B2 (ja) * 2020-07-27 2022-12-19 日本電子株式会社 試料分析装置及び方法
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
US20220252395A1 (en) * 2021-02-10 2022-08-11 Kla Corporation Methods And Systems For Accurate Measurement Of Deep Structures Having Distorted Geometry
US20220352041A1 (en) * 2021-04-30 2022-11-03 Kla Corporation High Resolution Profile Measurement Based On A Trained Parameter Conditioned Measurement Model
KR102582498B1 (ko) * 2021-10-18 2023-09-26 라이트비전 주식회사 높은 분별력을 가지는 tem sadp 영상 생성 시스템 및 방법
WO2023068632A1 (ko) * 2021-10-18 2023-04-27 라이트비전 주식회사 딥러닝을 이용하여 가상 tem sadp 영상과 실제 tem sadp 영상을 상호 변환시키는 회절 패턴 영상 변환 시스템 및 방법
CN115983738B (zh) * 2023-03-21 2023-06-27 广东仁懋电子有限公司 一种用于提升氮化镓制备效率的方法和装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20150110249A1 (en) 2013-10-21 2015-04-23 Kla-Tencor Corporation Small-angle scattering x-ray metrology systems and methods

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1432763A (en) * 1972-05-02 1976-04-22 Dolby Laboratories Inc Compressors expanders and noise reduction systems
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
TWI273217B (en) * 2002-04-17 2007-02-11 Accent Optical Tech Inc Scatterometric measurement of undercut multi-layer diffracting structures
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7110491B2 (en) 2004-12-22 2006-09-19 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-ray diffraction in reflection mode
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
WO2008151083A1 (en) 2007-05-30 2008-12-11 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
DE112010001894B4 (de) 2009-04-14 2023-05-04 Rigaku Corp. Verfahren zur Messung einer Oberflächenmikrostruktur, Verfahren zur Datenanalyse einer Oberflächenmikrostrukturmessung und Oberflächenmikrostruktur-Messsystem
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US9087367B2 (en) * 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US9311431B2 (en) 2011-11-03 2016-04-12 Kla-Tencor Corporation Secondary target design for optical measurements
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
KR101948912B1 (ko) * 2014-07-09 2019-02-15 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 디바이스 제조 방법
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10504759B2 (en) * 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US20190049861A1 (en) * 2017-08-11 2019-02-14 Asml Netherlands B.V. Methods and Apparatus for Determining the Position of a Spot of Radiation, Inspection Apparatus, Device Manufacturing Method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20150110249A1 (en) 2013-10-21 2015-04-23 Kla-Tencor Corporation Small-angle scattering x-ray metrology systems and methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230258585A1 (en) * 2022-02-16 2023-08-17 Kla Corporation Semiconductor Measurements With Robust In-Line Tool Matching

Also Published As

Publication number Publication date
WO2020214745A1 (en) 2020-10-22
TW202104841A (zh) 2021-02-01
US11990380B2 (en) 2024-05-21
SG11202111073XA (en) 2021-11-29
KR20210143922A (ko) 2021-11-29
JP2022529043A (ja) 2022-06-16
US20200335406A1 (en) 2020-10-22
IL287034A (en) 2021-12-01
CN113677983B (zh) 2022-11-15
CN113677983A (zh) 2021-11-19

Similar Documents

Publication Publication Date Title
DE112020002023T5 (de) Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung
DE112017005271T5 (de) Ganzstrahl-metrologie für röntgen-scatterometrie-systeme
JP6983944B2 (ja) 画像ベースの測定のための方法および測定システム
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
DE112016001982T5 (de) Recheneffiziente auf röntgenstrahlgestützte messung des overlays
DE112013003491T5 (de) Modellbildungs- und Analyse-Maschine für eine kombinierte auf Röntgenstrahlung und optisch basierte Metrologie
DE112016000410T5 (de) Messsystemoptimierung für röntgenbasierte Metrologie
US10983227B2 (en) On-device metrology using target decomposition
DE112020004109T5 (de) Verfahren und Systeme für die Halbleitermetrologie auf Basis der weichen Röntgenreflektometrie mit Wellenlängenauflösung
DE112018005533T5 (de) Detektion und messung der dimensionen asymmetrischer strukturen
DE112017000384T5 (de) Systeme und Verfahren für erweiterte infrarotspektroskopische Ellipsometrie
DE112017000464T5 (de) Einzelwellenlängen-Ellipsometrie mit verbesserter Spotgrößen-Fähigkeit
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
DE10224164B4 (de) Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
DE112016005450T5 (de) Berühungslose thermische Messungen von VUV-Optiken
US11610297B2 (en) Tomography based semiconductor measurements using simplified models
DE102005049075A1 (de) Optisches System und Verfahren zum Messen kleiner Abmessungen