DE102021101486A1 - Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur - Google Patents

Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur Download PDF

Info

Publication number
DE102021101486A1
DE102021101486A1 DE102021101486.7A DE102021101486A DE102021101486A1 DE 102021101486 A1 DE102021101486 A1 DE 102021101486A1 DE 102021101486 A DE102021101486 A DE 102021101486A DE 102021101486 A1 DE102021101486 A1 DE 102021101486A1
Authority
DE
Germany
Prior art keywords
photoresist layer
layer
photoresist
substrate
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021101486.7A
Other languages
English (en)
Inventor
Yi-Chen Kuo
Chih-Cheng Liu
Ming-Hui Weng
Jia-Lin WEI
Yen-Yu Chen
Jr-Hung Li
Yahru CHENG
Chi-Ming Yang
Tze-Liang Lee
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/150,403 external-priority patent/US11705332B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021101486A1 publication Critical patent/DE102021101486A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

Verfahren zur Herstellung einer Struktur in einer Photoresistschicht umfasst: Bilden einer Photoresistschicht über einem Substrat, und Reduzieren von Feuchtigkeits- und Sauerstoffabsorptionseigenschaften der Photoresistschicht. Die Photoresistschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden, und die latente Struktur wird entwickelt, indem ein Entwickler auf die selektiv belichtete Photoresistschicht aufgetragen wird, um eine Struktur zu bilden.

Description

  • VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/002,297 , die am 30 März 2020 eingereicht wurde, und der vorläufigen US-Patentanmeldung Nr. 63/026,695 , die am 18. Mai 2020 eingereicht wurde, deren gesamte Inhalte hier jeweils durch Rückbezug aufgenommen sind.
  • STAND DER TECHNIK
  • Da Verbrauchergeräte als Antwort auf Verbrauchernachfrage immer kleiner wurden, haben sich auch die einzelnen Komponenten dieser Vorrichtungen notwendigerweise verkleinert. Halbleitervorrichtungen, die eine Hauptkomponente von Vorrichtungen, wie z.B. Mobiltelefonen, Computer-Tablets und dergleichen ausmachen, wurden unter Druck gesetzt, immer kleiner zu werden, wobei ein entsprechender Druck auf die einzelnen Bauelemente (z.B. Transistoren, Widerstände, Kondensatoren usw.) innerhalb der Halbleitervorrichtungen, ebenfalls verkleinert zu werden, damit einherging.
  • Eine technologische Voraussetzung, die in Prozessen zum Herstellen von Halbleitervorrichtungen verwendet wird, stellt das Verwenden von fotolithografischen Materialien dar. Solche Materialien werden auf eine Fläche einer zu strukturierenden Schicht aufgetragen und anschließend mit einer Energie, die selbst strukturiert wurde, belichtet. Eine solche Belichtung modifiziert die chemischen und physischen Eigenschaften der belichteten Gebiete des lichtempfindlichen Materials. Diese Modifizierung kann, zusammen mit der fehlenden Modifikation in Gebieten des lichtempfindlichen Materials, die nicht belichtet wurden, ausgenutzt werden, um ein Gebiet zu entfernen, ohne das andere zu entfernen.
  • Mit der kleiner werdenden Größe der einzelnen Bauelemente wurden jedoch Prozessfenster für eine fotolithografische Verarbeitung immer enger. Von daher sind Fortschritte im Bereich der fotolithografischen Verarbeitung notwendig, um die Fähigkeit zur Verkleinerung der Vorrichtungen aufrechtzuerhalten, und weitere Verbesserungen sind erforderlich, um die gewünschten Entwurfskriterien zu erfüllen, so dass der Marsch zu immer kleineren Komponenten aufrechterhalten werden kann.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind und lediglich zu Veranschaulichungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 zeigt eine Prozessstufe eines sequenziellen Vorgangs gemäß einer Ausführungsform der Offenbarung.
    • 2A und 2B zeigen Prozessabläufe zum Herstellen einer Halbleitervorrichtung gemäß Ausführungsformen der Offenbarung.
    • 3 zeigt eine Prozessstufe eines sequenziellen Vorgangs gemäß einer Ausführungsform der Offenbarung.
    • 4A und 4B zeigen eine Prozessstufe eines sequenziellen Vorgangs gemäß Ausführungsformen der Offenbarung.
    • 5A, 5B, 5C und 5D zeigen eine Prozessstufe eines sequenziellen Vorgangs gemäß Ausführungsformen der Offenbarung.
    • 6A, 6B, 6C, 6D, 6E und 6F zeigen eine Prozessstufe eines sequenziellen Vorgangs gemäß Ausführungsformen der Offenbarung.
    • 7 zeigt eine Prozessstufe eines sequenziellen Vorgangs gemäß einer Ausführungsform der Offenbarung.
    • 8 zeigt eine Prozessstufe eines sequenziellen Vorgangs gemäß einer Ausführungsform der Offenbarung.
    • 9A, 9B und 9C zeigen metallorganische Vorläufer gemäß Ausführungsformen der Offenbarung.
    • 10 zeigt eine Photoresistabscheidungsvorrichtung gemäß einigen Ausführungsformen der Offenbarung.
    • 11 zeigt eine Reaktion, die die Photoresistschicht als Folge eines Belichtens mit aktinischer Strahlung und eines Erhitzens gemäß einer Ausführungsform der Offenbarung durchläuft.
    • 12A zeigt einen Oberflächenbehandlungsvorgang gemäß einer Ausführungsform der Offenbarung.
    • 12B zeigt einen Deckschichtausbildungsvorgang gemäß einer Ausführungsform der Offenbarung.
    • 13 zeigt eine Prozessstufe eines sequenziellen Vorgangs gemäß einer Ausführungsform der Offenbarung.
    • 14A und 14B zeigen eine Prozessstufe eines sequenziellen Vorgangs gemäß Ausführungsformen der Offenbarung.
    • 15A, 15B, 15C und 15D zeigen eine Prozessstufe eines sequenziellen Vorgangs gemäß Ausführungsformen der Offenbarung.
    • 16A, 16B, 16C, 16D, 16E und 16F zeigen eine Prozessstufe eines sequenziellen Vorgangs gemäß Ausführungsformen der Offenbarung.
    • 17 zeigt eine Prozessstufe eines sequenziellen Vorgangs gemäß einer Ausführungsform der Offenbarung.
    • 18 zeigt eine Prozessstufe eines sequenziellen Vorgangs gemäß einer Ausführungsform der Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die nachstehende Offenbarung viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Offenbarung bereitstellt. Konkrete Ausführungsformen oder Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese stellen selbstverständlich lediglich Beispiele dar und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel sind Abmessungen von Elementen nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können von Prozessbedingungen und/oder gewünschten Eigenschaften der Vorrichtung abhängig sein. Des Weiteren kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Verschiedene Merkmale können der Einfachheit und Klarheit halber beliebig in verschiedenen Maßstäben gezeichnet sein.
  • Außerdem können hierin Begriffe, die sich auf räumliche Relativität beziehen, wie z.B. „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, zur Erleichterung der Besprechung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (zu anderen Elementen oder Merkmalen), wie in den Figuren dargestellt, zu beschreiben. Die Begriffe, die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der verwendeten oder betriebenen Vorrichtung zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder anders ausgerichtet) und die hier verwendeten Bezeichnungen, die räumliche Relativität betreffen, können gleichermaßen dementsprechend ausgelegt werden. Außerdem kann der Begriff „hergestellt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten.
  • Da die Halbleiterindustrie zur Erzielung einer höheren Bauelementdichte, einer höheren Leistungsfähigkeit und niedrigerer Kosten die Nanometer-Technologieknoten erreichte, bestehen Herausforderungen hinsichtlich der Reduzierung der Halbleitermerkmalgröße. Lithografie unter Einsatz von extrem ultraviolettem Licht (EUVL) wurde entwickelt, um kleinere Halbleitervorrichtungsmerkmalgrößen zu bilden und eine Vorrichtungsdichte auf einem Halbleiterwafer zu erhöhen. Um die EUVL zu verbessern, ist ein Erhöhen des Waferbelichtungsdurchsatzes erwünscht. Ein Waferbelichtungsdurchsatz kann mithilfe einer erhöhten Belichtungsleistung oder einer erhöhten Photoresist-Fotogeschwindigkeit (Sensitivität) verbessert werden.
  • Metallhaltige Photoresiste werden bei Extrem-Ultraviolett-Lithografie verwendet, weil Metalle eine hohe Absorptionskapazität der EUV-Strahlung aufweisen. Metallhaltige Photoresiste absorbieren jedoch Umgebungsfeuchtigkeit und -sauerstoff, was die Strukturauflösung verschlechtern kann. Die Absorption von Feuchtigkeit und Sauerstoff kann die Vernetzungsreaktion in der Photoresistschicht initiieren, wodurch die Löslichkeit der nicht belichteten Bereiche im Photoresist für den Photoresistentwickler verringert wird. Außerdem können flüchtige Vorläufer (Vorstufen) in der Photoresistschicht vor dem Strahlungsbelichtungs- und Entwicklungsvorgang ausgasen, was dazu führen würde, dass sich die Qualität der Photoresistschicht im Laufe der Zeit ändert, und eine Verunreinigung der Halbleitervorrichtungs-Verarbeitungskammer, der Handhabungsausrüstung und anderer Halbleiterwafer verursachen kann. Die Photoresistschicht-Feuchtigkeits- und -Sauerstoffabsorption und Photoresistschichtausgasung beeinflussen negativ die Lithografieleistung und erhöhen die Defekte.
  • Um eine Feuchtigkeits- und -Sauerstoffabsorption und Photoresistausgasung zu verhindern, behandeln Ausführungsformen der Offenbarung die Fläche der Photoresistschicht oder bilden eine Deckschicht über der Photoresistschicht aus, wie in 1 dargestellt. Oberflächenbehandlungsvorgänge gemäß der vorliegenden Offenbarung umfassen ein Modifizieren von Liganden im metallhaltigen Photoresist, um hydrophile Endgruppen auf der Oberfläche der Liganden in der Photoresistschicht in hydrophobe Endgruppen umzuwandeln.
  • In einigen Ausführungsformen werden hydrophile Ligandenendgruppen an der oberen Fläche der Photoresistschicht durch hydrophobe Endgruppen ersetzt. In einigen Ausführungsformen wird die Photoresistschicht mit einem Plasma behandelt oder einer thermischen Behandlung unterzogen, um die hydrophilen Ligandenendgruppen in hydrophobe Endgruppen umzuwandeln.
  • In anderen Ausführungsformen wird eine Deckschicht auf der Photoresistschicht (Fotolackschicht) abgeschieden, wie in 1 dargestellt. In einigen Ausführungsformen ist die Deckschicht eine Monoschicht. In einigen Ausführungsformen ist die Deckschicht eine dielektrische Schicht.
  • Die Oberflächenbehandlung und die Deckschicht schützen die Photoresistschicht (Fotolackschicht) vor einer Aussetzung gegenüber Umgebungsfeuchtigkeit und -Sauerstoff, und verhindern ein Ausgasen, wodurch die Photoresistschicht stabilisiert wird und Defekte reduziert werden. Die Oberflächenbehandlung und die Deckschicht verbessern eine Entwicklerverteilung auf der Photoresistfläche, wodurch Schaum und Brückendefekte reduziert werden.
  • 2A und 2B zeigen Prozessabläufe 100 zum Herstellen einer Halbleitervorrichtung gemäß Ausführungsformen der Offenbarung. Ein Photoresist wird in einigen Ausführungsformen auf einer Fläche einer zu strukturierenden Schicht oder eines Substrats 10 in Vorgang S110 aufgeschichtet, um eine Photoresistschicht (Fotolackschicht) 15 zu bilden, wie in 3 dargestellt. In einigen Ausführungsformen ist das Photoresist ein metallhaltiges Photoresist, das durch eine chemische Gasphasenabscheidung (CVD) oder eine Atomlagenabscheidung (ALD) ausgebildet wird. In anderen Ausführungsformen wird die metallhaltige Photoresistschicht durch ein Rotationsbeschichtungsverfahren ausgebildet. In einigen Ausführungsformen wird eine Oberflächenbehandlung S115a an der Photoresistschicht 15 durchgeführt, um eine oberflächenbehandelte Schicht 20a zu bilden, wie in 2A und 4A dargestellt. Die Oberflächenbehandlung S115a ändert die Oberfläche der Photoresistschicht 15 von einer hydrophilen Oberfläche in eine hydrophobe Oberfläche.
  • In einigen Ausführungsformen wird die Photoresistschicht 15 einem ersten Erhitzungsvorgang S120 nach dem Oberflächenbehandlungsvorgang S115a unterzogen. In einigen Ausführungsformen umfasst der erste Erhitzungsvorgang S120 ein Erhitzen der Photoresistschicht 15 bei einer Temperatur zwischen ungefähr 40°C und ungefähr 150°C für ungefähr 10 Sekunden bis ungefähr 10 Minuten während des ersten Erhitzungsvorgangs S120. In einigen Ausführungsformen wird die Photoresistschicht 15 dem ersten Erhitzungsvorgang S120 unterzogen, bevor der Oberflächenbehandlungsvorgang S115a an der Photoresistschicht 15 durchgeführt wird.
  • In einigen Ausführungsformen wird, wie in 2B und 4B dargestellt, eine Deckschicht 20b in Vorgang S125a, S125b über der Photoresistschicht 15 ausgebildet. In einigen Ausführungsformen wird die Deckschicht 20b vor einem ersten Erhitzungsvorgang S120 ausgebildet. In einigen Ausführungsformen wird der Deckschichtausbildungsvorgang S125b nach dem ersten Erhitzungsvorgang S120 durchgeführt. In einigen Ausführungsformen wird die Photoresistschicht 15 bei einer Temperatur zwischen ungefähr 40°C und ungefähr 150°C für ungefähr 10 Sekunden bis ungefähr 10 Minuten während des ersten Erhitzungsvorgangs S120 erhitzt.
  • Die Photoresistschicht 15 und die oberflächenbehandelte Schicht 20a oder die Photoresistschicht 15 und die Deckschicht 20b werden anschließend selektiv mit aktinischer Strahlung 45/97 (siehe 5A, 5B, 5C und 5D) in Vorgang S130 von 2A und 2B belichtet. Die Photoresistschicht 15 wird mit aktinischer Strahlung 45/07 über die oberflächenbehandelte Schicht 20a oder die Deckschicht 20b belichtet. In einigen Ausführungsformen wird die aktinische Strahlung 45/97 nicht wesentlich durch die oberflächenbehandelte Schicht 20a oder die Deckschicht 20b absorbiert. In einigen Ausführungsformen wird die Photoresistschicht 15 selektiv oder strukturweise mit ultravioletter Strahlung belichtet. In einigen Ausführungsformen ist die Ultraviolettstrahlung tiefe Ultraviolettstrahlung (DUV). In einigen Ausführungsformen ist die Ultraviolettstrahlung extrem ultraviolette Strahlung (EUV-Strahlung). In einigen Ausführungsformen wird die Photoresistschicht 15 selektiv oder strukturweise einem Elektronenstrahl ausgesetzt. In einigen Ausführungsformen ist die Photoresistschicht 15 eine Photoresistschicht, die der aktinischen Strahlung 45/97 gegenüber lichtempfindlich ist, und die Deckschicht 20b ist keine Photoresistschicht und ist nicht lichtempfindlich gegenüber der aktinischen Strahlung 45/97.
  • Photoresistschichten gemäß der vorliegenden Offenbarung sind Schichten, die nach der Absorption der aktinischen Strahlung eine chemische Reaktion durchlaufen, die verursacht, dass Abschnitte der Photoresistschicht, die mit der aktinischen Strahlung belichtet wurden, im Gegensatz zu Abschnitten der Photoresistschicht, die nicht mit der aktinischen Strahlung belichtet wurden, die Löslichkeit in einem Entwickler ändern. Die Schichten, die nicht lichtempfindlich gegenüber der aktinischen Strahlung sind, werden nicht wesentlich einer chemischen Reaktion unterzogen, um die Löslichkeit der Schicht in einem Entwickler nach dem Belichten mit der aktinischen Strahlung zu ändern.
  • Wie in 5A und 5B dargestellt, verläuft in einigen Ausführungsformen die Belichtungsstrahlung 45 durch eine Fotomaske 30, bevor sie die Photoresistschicht 15 bestrahlt. In einigen Ausführungsformen weist die Fotomaske 30 eine Struktur auf, die in der Photoresistschicht 15 nachgebildet werden soll. Die Struktur wird in einigen Ausführungsformen durch eine opake Struktur 35 auf dem Fotomaskensubstrat 40 ausgebildet. Die opake Struktur 35 kann durch ein Material ausgebildet werden, das gegenüber ultravioletter Strahlung opak ist, wie z.B. Chrom, während das Fotomaskensubstrat 40 aus einem Material ausgebildet wird, das gegenüber ultravioletter Strahlung transparent ist, wie z.B. Quarzglas.
  • In einigen Ausführungsformen wird das selektive oder strukturweise Belichten der Photoresistschicht 15, um belichtete Gebiete 50 und nicht belichtete Gebiete 52 zu bilden, unter Verwendung einer EUV-Lithografie durchgeführt. In einem EUV-Lithografievorgang wird in einigen Ausführungsformen eine reflektierende Fotomaske 65 verwendet, um das strukturierte Belichtungslicht zu bilden, wie in 5C und 5D dargestellt. Die reflektierende Fotomaske 65 weist ein Glassubstrat 70 mit niedriger Wärmeausdehnung auf, auf dem eine reflektierende Mehrfachschicht 75 aus Si und Mo ausgebildet wird. Eine Deckschicht 80 und eine Absorberschicht 85 werden auf der reflektierenden Mehrfachschicht 75 ausgebildet. Eine leitfähige Rückschicht 90 wird auf der Rückseite des Substrats 70 mit niedriger Wärmeausdehnung ausgebildet. Extrem ultraviolette Strahlung 95 wird auf die reflektierende Fotomaske 65 in einem Einfallswinkel von ungefähr 6° gerichtet. Ein Abschnitt 97 der extrem ultravioletten Strahlung wird durch die Si/Mo-Mehrfachschicht 75 zum mit dem Photoresist beschichteten Substrat 10 reflektiert, während der Abschnitt der extrem ultravioletten Strahlung, der auf die Absorberschicht 86 auftrifft, durch die Fotomaske absorbiert wird. In einigen Ausführungsformen sind zusätzliche Optiken, die Spiegel aufweisen, zwischen der reflektierenden Fotomaske 65 und dem mit dem Photoresist beschichteten Substrat 10 angeordnet.
  • In einigen Ausführungsformen wird das Belichten mit einer Strahlung ausgeführt, indem das mit Photoresist beschichtete Substrat in einem fotolithografischen Werkzeug angeordnet wird. Das fotolithografische Werkzeug weist eine Fotomaske 30/65, Optiken, eine Belichtungsstrahlungsquelle, um die Strahlung 45/97 für die Belichtung bereitzustellen, und eine bewegliche Bühne zum Stützen und Bewegen des Substrats unter der Belichtungsstrahlung auf.
  • In einigen Ausführungsformen werden Optiken (nicht dargestellt) im fotolithografischen Werkzeug verwendet, um die Strahlung zu erweitern, zu reflektieren oder auf eine andere Weise zu steuern, bevor oder nachdem die Strahlung 45/97 durch die Fotomaske 30/65 strukturiert wird. In einigen Ausführungsformen weisen die Optiken eine oder mehrere Linsen, Spiegel, Filter und Kombinationen davon auf, um die Strahlung 45/97 entlang ihres Wegs zu steuern.
  • In einigen Ausführungsformen ist die Strahlung elektromagnetische Strahlung, wie z.B. G-Linie (mit einer Wellenlänge von ungefähr 436 nm), I-Linie (mit einer Wellenlänge von ungefähr 365 nm), ultraviolette Strahlung, ferne ultraviolette Strahlung, extremes Ultraviolett, Elektronenstrahlen oder dergleichen. In einigen Ausführungsformen ist die Strahlungsquelle aus der Gruppe ausgewählt, die aus einer Quecksilberdampflampe, einer Xenonlampe, einer Kohlenstoffbogenlampe, einem KrF-Excimerlaserlicht (Wellenlänge von 248 nm), einem ArF-Excimerlaserlicht (Wellenlänge von 193 nm), einem F2-Excimerlaserlicht (Wellenlänge von 157 nm) oder einem durch CO2-Laser angeregtes Sn-Plasma (extremes Ultraviolett, Wellenlänge von 13,5 nm) besteht.
  • Die Menge an elektromagnetischer Strahlung kann durch eine Fluenz oder Dosis charakterisiert werden, die durch den integrierten Strahlungsfluss über die Belichtungszeit erhalten wird. Geeignete Strahlungsfluenzen liegen in einigen Ausführungsformen im Bereich von ungefähr 1 mJ/cm2 bis ungefähr 150 mJ/cm2, in anderen Ausführungsformen von ungefähr 2 mJ/cm2 bis ungefähr 100 mJ/cm2 und in anderen Ausführungsformen von ungefähr 3 mJ/cm2 bis ungefähr 50 mJ/cm2. Ein Durchschnittsfachmann wird erkennen, dass zusätzliche Bereiche von Strahlungsfluenzen innerhalb der vorstehenden expliziten Bereiche in Betracht gezogen werden und innerhalb der vorliegenden Offenbarung liegen.
  • In einigen Ausführungsformen wird die selektive oder strukturweise Belichtung durch einen Rasterelektronenstrahl durchgeführt. Bei der Elektronenstrahllithographie induziert der Elektronenstrahl Sekundärelektronen, die das bestrahlte Material modifizieren. Eine hohe Auflösung ist unter Verwendung von Elektronenstrahllithographie und den hierin offenbarten metallhaltigen Photoresisten erreichbar. Elektronenstrahlen können durch die Energie des Strahls charakterisiert werden, und geeignete Energien liegen in einigen Ausführungsformen im Bereich von ungefähr 5 V bis ungefähr 200 kV (Kilovolt) und in anderen Ausführungsformen von ungefähr 7,5 V bis ungefähr 100 kV. Näherungskorrigierte Strahldosen bei 30 kV liegen in einigen Ausführungsformen im Bereich von ungefähr 0,1 µC/cm2 bis ungefähr 5 µC/cm2, in anderen Ausführungsformen von ungefähr 0,5 µC/cm2 bis ungefähr 1 µC/cm2 und in anderen Ausführungsformen von ungefähr 1 µC/cm2 cm2 bis ungefähr 100 µC / cm2. Ein Durchschnittsfachmann kann entsprechende Dosen bei anderen Strahlenergien auf der Grundlage der vorliegenden Lehren berechnen und wird erkennen, dass zusätzliche Bereiche von Elektronenstrahleigenschaften innerhalb der vorstehenden expliziten Bereiche in Betracht gezogen werden und innerhalb der vorliegenden Offenbarung liegen.
  • In einigen Ausführungsformen verwendet das Belichten der Photoresistschicht 15 eine Immersionslithografie-Technik. In einer solchen Technik wird ein Eintauchmedium (nicht dargestellt) zwischen den finalen Optiken und der Photoresistschicht angeordnet und die Belichtungsstrahlung 45 verläuft durch das Eintauchmedium.
  • Der Bereich der Photoresistschicht, der mit der Strahlung 50 belichtet wird, unterliegt einer chemischen Reaktion, wodurch sich seine Anfälligkeit für eine Entfernung in einem nachfolgenden Entwicklungsvorgang S150 ändert. In einigen Ausführungsformen unterliegt der mit der Strahlung 50 belichtete Abschnitt der Photoresistschicht einer Reaktion, die den belichteten Abschnitt derart gestaltet, dass er während des Entwicklungsvorgangs S150 leichter entfernt werden kann. In anderen Ausführungsformen unterliegt der mit der Strahlung 50 belichtete Abschnitt der Photoresistschicht einer Reaktion, die den belichteten Abschnitt derart gestaltet, dass er beständiger gegenüber einem Entfernen während des Entwicklungsvorgangs S150 wird.
  • Als Nächstes unterliegt die Photoresistschicht 15 bei Vorgang S140 einem zweiten Erhitzen oder einem Backen nach der Belichtung (PEB). In einigen Ausführungsformen wird die Photoresistschicht 15 bei einer Temperatur von ungefähr 50°C und ungefähr 250°C für ungefähr 20 Sekunden bis ungefähr 300 Sekunden erhitzt. In einigen Ausführungsformen wird das Backen nach der Belichtung bei einer Temperatur, die in einem Bereich von ungefähr 100°C bis ungefähr 230°C liegt, und in anderen Ausführungsformen bei einer Temperatur, die im Bereich von ungefähr 150°C bis ungefähr 200 °C liegt, durchgeführt. In einigen Ausführungsformen verursacht der Backvorgang S140 nach der Belichtung, dass das Reaktionsprodukt einer ersten Verbindung oder einer ersten Vorstufe (Vorläufer) und einer zweiten Verbindung oder einer zweiten Vorstufe (Vorläufer) in der Photoresistschicht vernetzen.
  • Die selektiv belichtete Photoresistschicht 15 wird anschließend in Vorgang S150 entwickelt. In einigen Ausführungsformen wird die Photoresistschicht 15 entwickelt, indem ein lösungsbasierter Entwickler 57 auf die selektiv belichtete Photoresistschicht aufgetragen wird. Wie in 6A und 6B dargestellt, wird ein flüssiger Entwickler 57 aus einem Spender 62 jeweils an die Photoresistschicht 15 und die oberflächenbehandelte Schicht 20a bzw. die Photoresistschicht 15 und die Deckschicht 20b geliefert. In einigen Ausführungsformen erfahren die belichteten Abschnitte 50 des Photoresists als Folge der Belichtung mit aktinischer Strahlung oder des Nachbelichtungsbackens eine Vernetzungsreaktion, und der unbelichtete Teil der Photoresistschicht 52 wird durch den Entwickler 57 entfernt, wodurch eine Struktur von Öffnungen 55 in der Photoresistschicht 15 ausgebildet wird, um das Substrat 10 freizulegen, wie in 7 gezeigt. In einigen Ausführungsformen werden die oberflächenbehandelte Schicht 20a und die Deckschicht 20b während des Entwicklungsvorgangs entfernt.
  • In einigen Ausführungsformen weist der Photoresistentwickler 57 ein Lösungsmittel und eine Säure oder eine Base auf. In einigen Ausführungsformen beträgt die Konzentration des Lösungsmittels von ungefähr 60 Gew.-% bis ungefähr 99 Gew.-% basierend auf dem Gesamtgewicht des Photoresistentwicklers. Die Säure- oder Basenkonzentration beträgt von ungefähr 0,001 Gew .-% bis ungefähr 20 Gew .-% basierend auf dem Gesamtgewicht des Photoresistentwicklers. In bestimmten Ausführungsformen beträgt die Säure- oder Basenkonzentration im Entwickler von ungefähr 0,01 Gew .-% bis ungefähr 15 Gew .-% basierend auf dem Gesamtgewicht des Photoresistentwicklers.
  • In einigen Ausführungsformen wird der Entwickler 57 auf die Photoresistschicht 15 unter Verwendung eines Spin-on-Prozesses aufgetragen. Im Spin-on-Prozess wird der Entwickler 57 auf die Photoresistschicht 15 von oberhalb der Photoresistschicht 15 aufgetragen, während das mit dem Photoresist beschichtete Substrat gedreht wird, wie in 6A dargestellt. In einigen Ausführungsformen wird der Entwickler 57 mit einer Rate zwischen ungefähr 5 ml/min und ungefähr 800 ml/min zugeführt, während das mit dem Photoresist beschichtete Substrat 10 mit einer Geschwindigkeit zwischen ungefähr 100 rpm und ungefähr 2000 rpm gedreht wird. In einigen Ausführungsformen befindet sich der Entwickler bei einer Temperatur von zwischen ungefähr 10 °C und ungefähr 80 °C. Der Entwicklungsvorgang dauert in einigen Ausführungsformen zwischen ungefähr 30 Sekunden und ungefähr 10 Minuten.
  • In einigen Ausführungsformen ist der Entwickler 57 ein organisches Lösungsmittel. Das organische Lösungsmittel kann ein beliebiges geeignetes Lösungsmittel sein. In einigen Ausführungsformen ist das Lösungsmittel eines oder mehrere, die aus Folgenden ausgewählt sind: Propylenglykolmethyletheracetat (PGMEA), Propylenglykolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN)), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, 4-Methyl-2-pentanol, Aceton, Methylethylketon, Dimethylformamid (DMF), Isopropanol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA), 2-Heptanon (MAK), Tetrahydrofuran (THF) und Dioxan.
  • Obwohl der Spin-on-Vorgang ein geeignetes Verfahren zum Entwickeln der Photoresistschicht 15 nach der Belichtung ist, sollte er veranschaulichend sein und sollte die Ausführungsform nicht beschränken. Vielmehr können beliebige geeignete Entwicklungsvorgänge, die Eintauchprozesse, Puddle-Prozesse und Aufsprühverfahren umfassen, alternativ verwendet werden. Alle derartigen Entwicklungsvorgänge sind im Umfang der Ausführungsformen aufgenommen.
  • In einigen Ausführungsformen wird ein Trockenentwickler 105 an die selektiv belichtete Photoresistschicht 15 und die oberflächenbehandelte Schicht 20a oder die Deckschicht 20b aufgetragen, wie in 6C und 6D dargestellt. In einigen Ausführungsformen ist der Trockenentwickler 105 ein Plasma oder ein chemischer Dampf, und der Trockenentwicklungsvorgang S150 ist ein Plasmaätz- oder chemischer Ätzvorgang. Die Trockenentwicklung nutzt die Unterschiede in Bezug auf die Zusammensetzung, das Ausmaß der Vernetzung und die Filmdichte, um die gewünschten Teile des Photoresists selektiv zu entfernen. In einigen Ausführungsformen verwenden die Trockenentwicklungsprozesse entweder ein sanftes Plasma (hoher Druck, niedrige Leistung) oder einen thermischen Prozess in einer beheizten Vakuumkammer, während eine Trockenentwicklungschemie, wie z.B. BCl3, BF3 oder eine andere Lewis-Säure im Dampfzustand fließt. In einigen Ausführungsformen entfernt das BCl3 das unbelichtete Material, wodurch eine Struktur des belichteten Films hinterlassen wird, die durch Ätzprozesse auf Plasmabasis in die darunter liegenden Schichten übertragen wird.
  • In einigen Ausführungsformen umfasst die Trockenentwicklung Plasmaprozesse, einschließlich transformatorgekoppeltem Plasma (TCP), induktiv gekoppeltem Plasma (ICP) oder kapazitiv gekoppeltem Plasma (CCP). In einigen Ausführungsformen wird der Plasmaprozess bei einem Druck im Bereich von ungefähr 5 mTorr bis zu einem Druck von ungefähr 20 mTorr, bei einem Leistungsniveau von ungefähr 250 W bis ungefähr1000 W, einer Temperatur im Bereich von etwa o ℃ bis ungefähr 300° und bei einer Durchflussrate von ungefähr 100 bis ungefähr 1000 sccm für ungefähr 1 bis ungefähr 3000 Sekunden durchgeführt.
  • In einigen Ausführungsformen wird die oberflächenbehandelte Schicht 20a oder die Deckschicht 20b nach dem Nachbelichtungsbackvorgang S140 und vor dem Entwicklungsvorgang S150 entfernt; und dann anschließend entweder durch einen Nassentwicklungsvorgang, wie in 6E dargestellt, oder einen Trockenentwicklungsvorgang, wie in 6F dargestellt, entwickelt. In einigen Ausführungsformen wird die oberflächenbehandelte Schicht 20a oder die Deckschicht 20b mithilfe eines geeigneten Lösungsmittels oder durch ein geeignetes Trockenätzmittel entfernt.
  • Der Entwicklungsvorgang S150 stellt eine Struktur 55 in der Photoresistschicht bereit, die Abschnitte des Substrats 10 freilegt, wie in 7 dargestellt. Nach dem Entwicklungsvorgang wird eine zusätzliche Verarbeitung durchgeführt, während die strukturierte Photoresistschicht 15, 50 angeordnet ist. Zum Beispiel wird in einigen Ausführungsformen ein Ätzvorgang unter Verwendung eines Trocken- oder eines Nassätzens durchgeführt, um die Struktur der Photoresistschicht 15, 50 auf das darunterliegende Substrat 10 zu übertragen, wodurch Aussparungen 55` ausgebildet werden, wie in 8 dargestellt. Das Substrat 10 weist eine andere Ätzbeständigkeit auf als die Photoresistschicht 15. In einigen Ausführungsformen ist das Ätzmittel selektiver gegenüber dem Substrat 10 als der Photoresistschicht 15.
    In einigen Ausführungsformen wird die strukturierte Photoresistschicht 15, 50 zumindest teilweise während des Ätzvorgangs in einigen Ausführungsformen entfernt. In anderen Ausführungsformen wird die strukturierte Photoresistschicht 15 nach dem Ätzen des Substrats 10 durch selektives Ätzen entfernt, indem ein geeignetes Photoresist-Stripper-Lösungsmittel verwendet wird oder mithilfe eines Photoresist-Plasmaveraschungsvorgangs.
  • In einigen Ausführungsformen weist das Substrat 10 zumindest auf seinem Oberflächenabschnitt eine Schicht aus einem einkristallinen Halbleiter auf. Das Substrat 10 kann ein einkristallines Halbleitermaterial, wie z.B. Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb und InP, aber nicht darauf beschränkt, aufweisen. In einigen Ausführungsformen ist das Substrat 10 eine Siliziumschicht eines SOI-Substrats (Silizium auf einem Isolator). In bestimmten Ausführungsformen wird das Substrat 10 aus kristallinem Si gefertigt.
  • Das Substrat 10 kann in seinem Oberflächengebiet eine oder mehrere Pufferschichten (nicht dargestellt) aufweisen. Die Pufferschichten können dazu dienen, die Gitterkonstante von jener des Substrats auf jene anschließend ausgebildeter Source-/Draingebiete allmählich zu ändern. Die Pufferschichten können aus epitaktisch aufgewachsenen Materialien aus einem einkristallinen Halbleiter, wie z.B. Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP und InP, aber nicht darauf beschränkt, gefertigt werden. In einer Ausführungsform wird die Siliziumgermanium-Pufferschicht (SiGe-Pufferschicht) epitaktisch auf dem Siliziumsubstrat 10 aufgewachsen. Die Germaniumkonzentration der SiGe-Pufferschichten kann von 30 Atom-% für die unterste Pufferschicht auf 70 Atom-% für die oberste Pufferschicht steigen.
  • In einigen Ausführungsformen weist das Substrat 10 eine oder mehrere Schichten von mindestens einem Metall, einer Metalllegierung und Metall/Nitrid/Sulfid/Oxid/Silizid auf, das die Formel MXa aufweist, wobei M ein Metall ist und X N, S, Se, O, Si ist und a von ungefähr 0,4 bis ungefähr 2,5 beträgt. In einigen Ausführungsformen weist das Substrat 10 Titan, Aluminium, Kobalt, Ruthenium, Titannitrid, Wolframnitrid, Tantalnitrid und Kombinationen davon auf.
  • In einigen Ausführungsformen weist das Substrat 10 ein dielektrisches Material auf, das mindestens ein Silizium- oder Metalloxid oder -nitrid der Formel MXb aufweist, wobei M ein Metall oder Si ist, X N oder O ist und b im Bereich von ungefähr 0,4 bis ungefähr 2,5 liegt. In einigen Ausführungsformen weist das Substrat 10 Siliziumdioxid, Siliziumnitrid, Aluminiumoxid, Hafniumoxid, Lanthanoxid und Kombinationen davon auf.
  • Die Photoresistschicht 15 ist eine lichtempfindliche Schicht, die durch Belichtung mit aktinischer Strahlung strukturiert wird. Typischerweise ändern sich die chemischen Eigenschaften der Photoresistgebiete, auf die die einfallende Strahlung auftrifft, auf eine Weise, die von der Art des verwendeten Photoresists abhängt. Photoresistschichten 15 sind entweder Photoresiste mit positivem Ton oder Photoresiste mit negativem Ton. Ein Photoresist mit positivem Ton bezieht sich auf ein Photoresistmaterial, das bei Belichtung mit Strahlung (wie z.B. UV-Licht) in einem Entwickler lösbar wird, während das Gebiet des Photoresists, das nicht belichtet (oder weniger belichtet) wurde, in dem Entwickler nicht lösbar ist. Ein Photoresist mit negativem Ton bezieht andererseits sich auf ein Photoresistmaterial, das bei Belichtung mit Strahlung im Entwickler unlösbar wird, während das Gebiet des Photoresists, das nicht belichtet (oder weniger belichtet) wurde, im Entwickler lösbar ist. Das Gebiet eines negativen Photoresists, das bei Belichtung mit Strahlung unlösbar wird, kann aufgrund einer Vernetzungsreaktion, die durch die Belichtung mit Strahlung verursacht wird, unlösbar werden.
  • In einigen Ausführungsformen weist die Photoresistschicht eine hochempfindliche Photoresistzusammensetzung auf. In einigen Ausführungsformen ist die hochempfindliche Photoresistzusammensetzung hochempfindlich gegenüber extrem ultravioletter Strahlung (EUV-Strahlung).
  • In einigen Ausführungsformen wird die Photoresistschicht 15 aus einer Photoresistzusammensetzung hergestellt, die eine erste Verbindung oder eine erste Vorstufe (Vorläufer) und eine zweite Verbindung oder eine zweite Vorstufe (Vorläufer) aufweist, die in einem Dampfzustand kombiniert werden. Die erste Vorstufe oder die erste Verbindung ist eine Organometallverbindung, die eine Formel: MaRbXc aufweist, wie in 9A dargestellt, wobei M mindestens eines von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu ist; und R eine substituierte oder unsubstituierte Alkyl-, Alkenyl- oder Carboxylatgruppe ist. In einigen Ausführungsformen wird M aus der Gruppe ausgewählt, die aus Sn, Bi, Sb, In, Te und Kombinationen davon besteht. In einigen Ausführungsformen ist R ein C3-C6-Alkyl, -Alkenyl oder -Carboxylat. In einigen Ausführungsformen wird R aus der Gruppe ausgewählt, die aus Propyl, Isopropyl, Butyl, Isobutyl, sec-Butyl, tert-Butyl, Pentyl, Isopentyl, sec-Pentyl, tert-Pentyl, Hexyl, Isohexyl, sec- Hexyl, tert-Hexyl und Kombinationen davon besteht. X ist ein Ligand, ein Ion oder ein anderer Rest, der mit der zweiten Verbindung oder der zweiten Vorstufe reaktiv ist; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5 in einigen Ausführungsformen. In einigen Ausführungsformen ist die Alkyl-, Alkenyl- oder Carboxylatgruppe mit einer oder mehreren Fluorgruppen substituiert. In einigen Ausführungsformen ist die metallorganische Vorstufe ein Dimer, wie in 9A dargestellt, wobei jede Monomereinheit durch eine Amingruppe verbunden ist. Jedes Monomer weist eine Formel: MaRbXc auf, wie vorstehend definiert.
  • In einigen Ausführungsformen ist R Alkyl, wie z.B. CnH2n+1, wobei n ≥ 3. In einigen Ausführungsformen ist R fluoriert und weist z.B. die Formel CnFxH((2n+1)-x) auf. In einigen Ausführungsformen weist R mindestens einen Beta-Wasserstoff oder ein Beta-Fluor auf. In einigen Ausführungsformen wird R aus der Gruppe ausgewählt, die aus i-Propyl, n-Propyl, t-Butyl, i-Butyl, n-Butyl, sec-Butyl, n-Pentyl, i-Pentyl, t-Pentyl und sec -Pentyl und Kombinationen davon besteht.
  • In einigen Ausführungsformen ist X eine beliebige Einheit, die leicht durch die zweite Verbindung oder die zweite Vorstufe verdrängt wird, um eine M-OH-Einheit zu erzeugen, wie z.B. eine Einheit, die aus der Gruppe ausgewählt wird, die aus Aminen, einschließlich Dialkylamino und Monalkylamino; Alkoxy; Carboxylaten, Halogenen und Sulfonaten besteht. In einigen Ausführungsformen ist die Sulfonatgruppe mit einer oder mehreren Amingruppen substituiert. In einigen Ausführungsformen ist das Halogenid eines oder mehrere, die aus der Gruppe ausgewählt werden, die aus F, Cl, Br und I besteht. In einigen Ausführungsformen weist die Sulfonatgruppe eine substituierte oder unsubstituierte C1-C3-Gruppe auf.
  • In einigen Ausführungsformen weist die erste metallorganische Verbindung oder die erste metallorganische Vorstufe einen metallischen Kern M+ mit Liganden L auf, die an den metallischen Kern M+ gebunden sind, wie in 9B dargestellt. In einigen Ausführungsformen ist der metallische Kern M+ ein Metalloxid. Die Liganden L weisen in einigen Ausführungsformen aliphatische oder aromatische C3-C12-Gruppen auf. Die aliphatischen oder aromatischen Gruppen können unverzweigt oder mit cyclischen oder nichtcyclischen gesättigten Seitengruppen, die 1 bis 9 Kohlenstoffe aufweisen, einschließlich Alkylgruppen, Alkenylgruppen und Phenylgruppen, verzweigt sein. Die verzweigten Gruppen können ferner mit Sauerstoff oder Halogen substituiert sein. In einigen Ausführungsformen weisen die aliphatischen oder aromatischen C3-C12-Gruppen heterocyclische Gruppen auf. In einigen Ausführungsformen sind die aliphatischen oder aromatischen C3-C12-Gruppen durch eine Ether- oder Esterbindung an das Metall gebunden. In einigen Ausführungsformen weisen die aliphatischen oder aromatischen C3-C12-Gruppen Nitrit- und Sulfonatsubstituenten auf.
  • In einigen Ausführungsformen weisen die metallorganische Vorstufen oder die metallorganische Verbindung ein sec-Hexyltris (dimethylamino)zinn, t-Hexyltris (dimethylamino)zinn, i-Hexyltris(dimethylamino)zinn, n-Hexyltris(dimethylamino)zinn, sec-Pentyltris(dimethylamino), t-Pentyltris(dimethylamino)zinn, i-Pentyltris(dimethylamino)zinn, n-Pentyltris(dimethylamino)zinn, sec-Butyltris(dimethylamino)zinn, t-Butyltris(dimethylamino)zinn, i-Butyltris(dimethylamino)zinn, n-Butyltris(dimethylamino)zinn, sec-Butyltris(dimethylamino)zinn, i-Propyl(tris)dimethylaminozinn, n-Propyltris(diethylamino)zinn und analoge Alkyl(tris)(t-Butoxy)zinn-Verbindungen, einschließlich sec-Hexyltris(t-butoxy)zinn, t-Hexyltris(t-butoxy)zinn, i-Hexyltris(t-butoxy)zinn, n-Hexyltris(t- Butoxy)zinn, sec-Pentyltris(t-butoxy), t-Pentyltris(t-butoxy)zinn, i-Pentyltris(t-butoxy)zinn, n-Pentyltris(t-butoxy)zinn, t-Butyltris(t-butoxy)zinn, i-Butyltris(butoxy)zinn, n-Butyltris(butoxy)zinn, sec-Butyltris(butoxy)zinn, i-Propyl(tris)dimethylaminozinn oder n-Propyltris(butoxy)zinn auf. In einigen Ausführungsformen sind die metallorganischen Vorstufen oder metallorganischen Verbindungen fluoriert. In einigen Ausführungsformen weisen die metallorganischen Vorstufen oder Verbindungen einen Siedepunkt von weniger als ungefähr 200 °C.
  • In einigen Ausführungsformen weist die erste Verbindung oder die erste Vorstufe eine oder mehrere ungesättigte Bindungen, die mit einer funktionellen Gruppe, wie z.B. einer Hydroxylgruppe, auf der Oberfläche des Substrats oder einer dazwischenliegenden Unterschicht koordiniert werden können, um die Haftung der Photoresistschicht am Substrat oder der Unterschicht zu verbessern.
  • In einigen Ausführungsformen ist die zweite Vorstufe oder die zweite Verbindung mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser. In einigen Ausführungsformen weist das Amin eine Formel NpHnXm auf, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, und n + m = 4, wenn p 2 ist, und jedes X unabhängig ein Halogen ist, das aus der Gruppe ausgewählt wird, die aus F, Cl, Br und I besteht. In einigen Ausführungsformen weist das Boran eine Formel BpHnXm auf, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3 ist, wenn p 1 ist und n + m = 4, wenn p 2 ist und jedes X unabhängig ein Halogen ist, das aus der Gruppe ausgewählt wird, die aus F, Cl, Br und I besteht. In einigen Ausführungsformen weist das Phosphin eine Formel PpHnXm auf, wobei 0 ≤ n ≤ ist 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, oder n + m = 4, wenn p 2 ist, und jedes X unabhängig ein Halogen ist, das aus der Gruppe ausgewählt wird, die aus F, Cl, Br und I besteht.
  • In einigen Ausführungsformen ist die zweite Vorstufe oder Verbindung Wasser, Ammoniak oder Hydrazin. Das Reaktionsprodukt des Wassers, Ammoniaks oder Hydrazins und der metallorganischen Vorstufe oder Verbindung kann Wasserstoffbrückenbindungen bilden, die den Siedepunkt des Reaktionsprodukts erhöhen und eine Emission des Metallfotolackmaterials verhindern, wodurch eine Metallverunreinigung verhindert wird. Die Wasserstoffbrückenbindungen können auch dazu beitragen, Feuchtigkeitseffekte auf die Qualität der Photoresistschicht zu verhindern.
  • 9B zeigt eine Reaktion, die metallische Vorstufen in einigen Ausführungsformen als Folge der Belichtung mit aktinischer Strahlung erfahren. Als Folge der Belichtung mit aktinischer Strahlung werden Ligandengruppen L vom metallischen Kern M + der metallischen Vorstufen abgespalten und zwei oder mehr metallische Vorstufenkerne verbinden sich miteinander.
  • 9C zeigt Beispiele für metallorganische Vorstufen gemäß Ausführungsformen der Offenbarung. In 9C ist Bz eine Benzolgruppe.
  • In einigen Ausführungsformen wird der Vorgang S110 zum Abscheiden einer Photoresistzusammensetzung durch einen Gasphasenabscheidungsvorgang durchgeführt. In einigen Ausführungsformen umfasst der Gasphasenabscheidungsvorgang eine Atomlagenabscheidung (ALD) oder eine chemische Gasphasenabscheidung (CVD). In einigen Ausführungsformen umfasst die ALD die plasmaunterstützte Atomlagenabscheidung (PE-ALD) und die CVD umfasst plasmaunterstützte chemische Gasphasenabscheidung (PE-CVD), metallorganische chemische Gasphasenabscheidung (MO-CVD); chemische Gasphasenabscheidung bei Atmosphärendruck (AP-CVD) und chemische Niederdruckgasphasenabscheidung (LP-CVD).
  • Eine Photoresistschichtabscheidungsvorrichtung 200 gemäß einigen Ausführungsformen der Offenbarung ist in 10 dargestellt. In einigen Ausführungsformen ist die Abscheidungsvorrichtung 200 eine ALD- oder CVD-Vorrichtung. Die Abscheidungsvorrichtung 200 weist eine Vakuumkammer 205 auf. Eine Substratträgerbühne 210 in der Vakuumkammer 205 trägt ein Substrat 10, wie z.B. einen Siliziumwafer. In einigen Ausführungsformen weist die Substratträgerbühne 210 eine Heizeinrichtung auf. Eine erste Vorstufen- oder Verbundgasversorgung 220 und eine Träger-/Spülgasversorgung 225 sind in einigen Ausführungsformen über eine Gasleitung 235 mit einer Einlassöffnung 230 in der Kammer verbunden, und eine zweite Vorstufen- oder Verbundgasversorgung 240 und eine Träger-/Spülgasversorgung 225sind über eine andere Gasleitung 235` mit einer anderen Einlassöffnung 230` in der Kammer verbunden. Die Kammer wird evakuiert und überschüssige Reaktanten und Reaktionsnebenprodukte werden durch eine Vakuumpumpe 245 über eine Auslassöffnung 250 und eine Auslassleitung 255 entfernt. In einigen Ausführungsformen werden die Durchflussrate oder die Pulse von Vorstufengasen und Träger-/Spülgasen, die Evakuierung von überschüssigen Reaktanten und Reaktionsnebenprodukten, der Druck innerhalb der Vakuumkammer 205 und die Temperatur der Vakuumkammer 205 oder der Waferträgerbühne 210 durch eine Steuerung 260 gesteuert, die zum Steuern jedes dieser Parameter ausgelegt ist.
  • Das Abscheiden einer Photoresistschicht umfasst ein Kombinieren der ersten Verbindung oder der ersten Vorstufe und der zweiten Verbindung oder der zweiten Vorstufe in einem Dampfzustand, um die Photoresistzusammensetzung zu bilden. In einigen Ausführungsformen werden die erste Verbindung oder die erste Vorstufe und die zweite Verbindung oder die zweite Vorstufe der Photoresistzusammensetzung ungefähr zum gleichen Zeitpunkt über die Einlassöffnungen 230, 230' in die Abscheidungskammer 205 (CVD-Kammer) eingeführt. In einigen Ausführungsformen werden die erste Verbindung oder die erste Vorstufe und die zweite Verbindung oder die zweite Vorstufe abwechselnd über die Einlassöffnungen 230, 230` in die Abscheidungskammer 205 (ALD-Kammer) eingeführt, d.h. - zuerst eine Verbindung oder Vorstufe, dann eine zweite Verbindung oder Vorstufe, und anschließend wird abwechselnd die Einführung der einen Verbindung oder der Vorstufe, worauf die zweite Verbindung oder die zweite Vorstufe folgt, wiederholt.
  • In einigen Ausführungsformen liegt die Abscheidungskammertemperatur im Bereich von ungefähr 30 °C bis ungefähr 400 °C während des Abscheidungsvorgangs und in anderen Ausführungsformen zwischen ungefähr 50 °C und ungefähr 250 °C. In einigen Ausführungsformen liegt der Druck in der Abscheidungskammer im Bereich von ungefähr 5 mTorr bis ungefähr 100 Torr während des Abscheidungsvorgangs und in anderen Ausführungsformen zwischen ungefähr 100 mTorr bis ungefähr 10 Torr. In einigen Ausführungsformen beträgt die Plasma-Leistung weniger als ungefähr 1000 W. In einigen Ausführungsformen liegt die Plasma-Leistung im Bereich von ungefähr 100 W bis ungefähr 900 W. In einigen Ausführungsformen liegt die Durchflussrate der ersten Verbindung oder der ersten Vorstufe und der zweiten Verbindung oder der zweiten Vorstufe im Bereich von ungefähr 100 sccm bis ungefähr 1000 sccm. In einigen Ausführungsformen liegt das Verhältnis des Durchflusses der Vorstufe der metallorganischen Verbindung zur zweiten Verbindung oder Vorstufe im Bereich von ungefähr 1: 1 bis ungefähr 1: 5. Bei Betriebsparametern außerhalb der vorstehend angegebenen Bereiche ergeben sich in einigen Ausführungsformen unbefriedigende Photoresistschichten. In einigen Ausführungsformen findet die Bildung der Photoresistschicht in einer einzelnen Kammer (eine Eintopfschichtbildung) statt.
  • In einem CVD-Prozess gemäß einigen Ausführungsformen der Offenbarung werden zwei oder mehr Gasströme in getrennten Einlasspfaden 230, 235 und 230', 235' einer metallorganischen Vorstufe und einer zweiten Vorstufe in die Abscheidungskammer 205 einer CVD-Vorrichtung eingeführt, in der sie sich mischen und in der Gasphase reagieren, um ein Reaktionsprodukt zu bilden. Die Ströme werden in einigen Ausführungsformen unter Verwendung separater Einspritzöffnungen 230, 230` oder eines Duschkopfs mit zwei Verteilerkanälen eingeführt. Die Abscheidungsvorrichtung ist derart ausgelegt, dass die Ströme metallorganischer Vorstufe und zweiter Vorstufe in der Kammer gemischt werden, wodurch ermöglicht wird, dass die metallorganische Vorstufe und die zweite Vorstufe reagieren, um ein Reaktionsprodukt zu bilden. Ohne den Mechanismus, die Funktion oder den Nutzen der Offenbarung einzuschränken, wird angenommen, dass das Produkt aus der Dampfphasenreaktion ein höheres Molekulargewicht aufweist und dann kondensiert oder auf andere Weise auf dem Substrat 10 abgeschieden wird.
  • In einigen Ausführungsformen wird ein ALD-Prozess verwendet, um die Photoresistschicht abzuscheiden. Während der ALD wird eine Schicht auf einem Substrat 10 durch Aussetzen der Fläche des Substrats abwechselnden gasförmigen Verbindungen (oder Vorstufen) aufgewachsen. Im Gegensatz zu CVD werden die Vorstufen als eine Reihe von sequenziellen nicht überlappenden Pulsen eingeführt. In jedem dieser Pulse reagieren die Vorstufenmoleküle mit der Oberfläche auf eine selbstlimitierende Weise, so dass die Reaktion endet, nachdem alle reaktiven Stellen auf der Oberfläche verbraucht sind. Folglich wird die maximale Menge an Material, die nach einmaliger Exposition gegenüber allen Vorstufen (einem sogenannten ALD-Zyklus) auf der Oberfläche abgeschieden ist, durch die Art der Vorstufen-Oberfläche-Wechselwirkung bestimmt.
  • In einer Ausführungsform eines ALD-Verfahrens wird eine metallorganische Vorstufe gepulst, um die metallhaltige Vorstufe an die Oberfläche des Substrats 10 in einer ersten Halbreaktion zu liefern. In einigen Ausführungsformen reagiert die metallorganische Vorstufe mit einer geeigneten zugrunde liegenden Spezies (zum Beispiel OH- oder NH-Funktionalität auf der Oberfläche des Substrats), um eine neue selbstsättigende Oberfläche zu bilden. Überschüssige nicht verwendete Reaktanten und die Reaktionsnebenprodukte werden in einigen Ausführungsformen durch eine Evakuierungspumpe unter Verwendung einer Vakuumpumpe 245 und/oder durch ein Strömen eines inerten Spülgases entfernt. Dann wird in einigen Ausführungsformen eine zweite Vorstufe, wie z.B. Ammoniak (NH3), in die Abscheidungskammer gepulst. Das NH3 reagiert mit der metallorganischen Vorstufe auf dem Substrat, um einen Reaktionsprodukt-Photoresist auf der Substratoberfläche zu erhalten. Die zweite Vorstufe bildet ebenfalls selbstsättigende Bindungen mit den zugrunde liegenden reaktiven Spezies, um eine weitere selbstlimitierende und sättigende Reaktion zweiter Hälfte bereitzustellen. Eine zweite Spülung wird in einigen Ausführungsformen durchgeführt, um nicht verwendete Reaktanten und die Reaktionsnebenprodukte zu entfernen. Die Pulse der ersten Vorstufe und der zweiten Vorstufe wechseln sich mit dazwischenliegenden Spülvorgängen ab, bis eine gewünschte Dicke der Photoresistschicht erreicht wird.
  • In einigen Ausführungsformen wird die Photoresistschicht 15 bis zu einer Dicke von ungefähr 5 nm bis ungefähr 50 nm, und in anderen Ausführungsformen bis zu einer Dicke von ungefähr 10 nm bis ungefähr 30 nm ausgebildet. Ein Durchschnittsfachmann wird erkennen, dass zusätzliche Bereiche von Dicken innerhalb der vorstehenden expliziten Bereiche in Betracht gezogen werden und innerhalb der vorliegenden Offenbarung liegen. Die Dicke kann unter Verwendung berührungsloser Verfahren eines Röntgenreflexionsvermögens und/oder einer Ellipsometrie auf der Grundlage der optischen Eigenschaften der Photoresistschichten bewertet werden. In einigen Ausführungsformen ist jede Photoresistschichtdicke relativ gleichmäßig, um eine Verarbeitung zu erleichtern. In einigen Ausführungsformen variiert die Schwankung der Dicke der abgeschiedenen Photoresistschicht um nicht mehr als ± 25% von der durchschnittlichen Dicke, in anderen Ausführungsformen variiert jede Photoresistschichtdicke um nicht mehr als ± 10% von der durchschnittlichen Photoresistschichtdicke. In einigen Ausführungsformen, wie z.B. bei Abscheidungen mit hoher Gleichmäßigkeit auf größeren Substraten, kann die Bewertung der Gleichmäßigkeit der Photoresistschicht mit einem Randausschluss von 1 cm bewertet werden, d.h. die Schichtgleichmäßigkeit wird nicht für Abschnitte der Beschichtung innerhalb von 1 cm vom Rand bewertet. Ein Durchschnittsfachmann wird erkennen, dass zusätzliche Bereiche innerhalb der vorstehenden expliziten Bereiche in Betracht gezogen werden und innerhalb der vorliegenden Offenbarung liegen.
  • In einigen Ausführungsformen werden die erste und zweite Verbindung oder Vorstufe in die Abscheidungskammer 205 mit einem Trägergas geliefert. Das Trägergas, ein Spülgas, ein Abscheidungsgas oder ein anderes Prozessgas kann Stickstoff, Wasserstoff, Argon, Neon, Helium oder Kombinationen davon enthalten.
  • In einigen Ausführungsformen weist die metallorganische Verbindung Zinn (Sn), Antimon (Sb), Wismut (Bi), Indium (In) und/oder Tellur (Te) als Metallkomponente auf, die Offenbarung ist jedoch nicht auf diese Metalle beschränkt. In anderen Ausführungsformen weisen zusätzliche geeignete Metalle Titan (Ti), Zirkonium (Zr), Hafnium (Hf), Vanadium (V), Kobalt (Co), Molybdän (Mo), Wolfram (W), Aluminium (A1), Gallium ( Ga), Silizium (Si), Germanium (Ge), Phosphor (P), Arsen (As), Yttrium (Y), Lanthan (La), Cer (Ce), Lutetium (Lu) oder Kombinationen davon auf. Die zusätzlichen Metalle können als Alternativen zu oder zusätzlich zu Sn, Sb, Bi, In und/oder Te sein.
  • Das konkrete verwendete Metall kann die Absorption von Strahlung wesentlich beeinflussen. Daher kann die Metallkomponente auf der Grundlage des gewünschten Strahlungs- und Absorptionsquerschnitts ausgewählt werden. Zinn, Antimon, Wismut, Tellur und Indium sorgen für eine starke Absorption von extrem ultraviolettem Licht bei 13,5 nm. Hafnium bietet eine gute Absorption von Elektronenstrahlen und extremer UV-Strahlung. Metallzusammensetzungen, die Titan, Vanadium, Molybdän oder Wolfram aufweisen, zeigen eine starke Absorption bei längeren Wellenlängen, um zum Beispiel eine Empfindlichkeit gegenüber ultraviolettem Licht mit einer Wellenlänge von 248 nm bereitzustellen.
  • 11 zeigt eine Reaktion, die die Photoresistschicht-Zusammensetzungskomponenten als Folge einer Belichtung mit aktinischer Strahlung und Erhitzung gemäß einer Ausführungsform der Offenbarung durchlaufen. 11 zeigt ein Beispiel für eine chemische Struktur der Photoresistschicht (PR) bei verschiedenen Stufen des Photoresiststrukturierungsverfahrens gemäß Ausführungsformen der Offenbarung. Wie in 11 dargestellt, weist die Photoresistzusammensetzung eine metallorganische Verbindung, zum Beispiel SnX2R2, und eine zweite Verbindung, zum Beispiel Ammoniak (NH3), auf. Wenn die metallorganische Verbindung und das Ammoniak kombiniert werden, reagiert die metallorganische Verbindung mit einem Teil des Ammoniaks in der Dampfphase, um ein Reaktionsprodukt mit Amingruppen, die an das Metall (Sn) der metallorganischen Verbindung gebunden sind, zu bilden. Die Amingruppen in der abgeschiedenen Photoresistschicht weisen Wasserstoffbrückenbindungen auf, die den Siedepunkt der abgeschiedenen Photoresistschicht wesentlich erhöhen und das Ausgasen von metallhaltigem Photoresistmaterial verhindern können, wodurch eine Verunreinigung der Abscheidungskammer und der Halbleitervorrichtungsverarbeitungsausrüstung durch das Metall im metallhaltigen Photoresist verhindert wird. Des Weiteren können die Wasserstoffbrückenbindungen der Amingruppen den Einfluss von Feuchtigkeit auf die Qualität der Photoresistschicht steuern.
  • In einigen Ausführungsformen ist die Photoresistzusammensetzung eine Zusammensetzung auf Basis eines organischen Polymers in einem Lösungsmittel, die durch ein Rotationsbeschichtungsverfahren abgeschieden wird, worauf ein erstes Erhitzen folgt, um das Lösungsmittel zu entfernen.
  • Wenn die metallorganische Verbindung anschließend mit extrem ultravioletter Strahlung belichtet wird, absorbiert sie die extrem ultraviolette Strahlung und eine oder mehrere organische R-Gruppen werden von der metallorganischen Verbindung abgespalten, um in den mit der Strahlung belichteten Bereichen eine Aminometallverbindung zu bilden. Wenn dann das Nachbelichtungsbacken (PEB) durchgeführt wird, vernetzen die Aminometallverbindungen in einigen Ausführungsformen durch die Amingruppen, wie in 11 dargestellt. In einigen Ausführungsformen tritt eine teilweise Vernetzung der Aminometallverbindungen als Folge der Belichtung mit extrem ultravioletter Strahlung auf.
  • In einigen Ausführungsformen ist der Oberflächenbehandlungsvorgang S115a ein In-situ-Vorgang, bei dem die Oberflächenbehandlung in derselben Prozesskammer wie der Photoresist-Abscheidungsvorgang S110 durchgeführt wird. In anderen Ausführungsformen ist der Oberflächenbehandlungsvorgang 115a ein Ex-situ-Vorgang, bei dem die Oberflächenbehandlung in einer anderen Prozesskammer als der Photoresist-Abscheidungsvorgang S110 durchgeführt wird. In einigen Ausführungsformen umfasst der Oberflächenbehandlungsvorgang S115a ein Ändern der Oberfläche der Photoresistschicht von einer hydrophilen Oberfläche in eine hydrophobe Oberfläche. In einigen Ausführungsformen umfasst der Oberflächenbehandlungsvorgang S115a ein Ersetzen von Endgruppen von Liganden in der Photoresistschicht durch eine nichtpolare organische Gruppe. In einigen Ausführungsformen weisen die nichtpolaren organischen Gruppen Alkyl- oder Arylgruppen auf. In einigen Ausführungsformen umfasst die Oberflächenbehandlung das Umwandeln hydrophiler Endgruppen an Liganden in der Photoresistschicht in hydrophobe Endgruppen. In einigen Ausführungsformen werden polare oder hydrophile Endgruppen an den Liganden, wie z.B. Hydroxyl-Endgruppen (-OH), durch nichtpolare oder hydrophobe Endgruppen, wie z.B. Methylgruppen (-CH3) oder Phenylgruppen (-C6H5), ersetzt oder in diese umgewandelt. In einigen Ausführungsformen umfasst die Oberflächenbehandlung ein Reagieren von Endgruppen von Liganden in der Photoresistschicht, wie z.B. -OH-Gruppen, mit Ammoniak, einem Silan, einem Silylamin, einem Alkylhalogenid, einem Arylhalogenid, einem Siliziumhalogenid, einem Alkylamin, einem Arylamin, einem Carboxyalkyl oder ein Carboxyaryl.
  • In einigen Ausführungsformen werden die -OH-Endgruppen an einem Liganden mit einem Halosilan (SiRyX4-y) umgesetzt, wobei X ein Halogen und R der Ligand ist. Mindestens eines der Halogene reagiert mit Wasserstoff und wird entfernt, und die Endgruppe wird in SiRyX3-yO umgewandelt. Der Ligand R ist in einigen Ausführungsformen eine nichtpolare Alkylgruppe und y beträgt 1 bis 3. In einigen Ausführungsformen beträgt die Reaktionstemperatur ungefähr 20 °C bis ungefähr 500 °C.
  • 12A zeigt einen Oberflächenbehandlungsvorgang gemäß einer Ausführungsform der Offenbarung. Wie in 12 dargestellt, weisen die Liganden L im metallischen Photoresist hydrophile Endgruppen (-OH-Gruppen) auf. Ein Silylamin (R3SiNH2) wird mit den hydrophilen Endgruppen umgesetzt, wodurch die hydrophilen Endgruppen in hydrophobe Endgruppen (Silylaminogruppen) umgewandelt werden.
  • In einigen Ausführungsformen wird die oberflächenbehandelte Schicht 20a durch eine Belichtung mit ultravioletter Strahlung, einschließlich EUV; eine thermische Behandlung; eine Entwicklerlösung; oder ein Ätzen entfernt. In einigen Ausführungsformen wird die oberflächenbehandelte Schicht 20a durch Erhitzen der oberflächenbehandelten Schicht 20a auf eine Temperatur, die im Bereich von ungefähr 50 °C bis ungefähr 400 °C liegt, entfernt. In einigen Ausführungsformen wird die oberflächenbehandelte Schicht 20a durch Belichten der oberflächenbehandelten Schicht 20a mit ultravioletter Strahlung, die eine Wellenlenge im Bereich von ungefähr 20 nm bis ungefähr 400 nm aufweist, entfernt.
  • In anderen Ausführungsformen ist die Deckschicht 20b eine dünne Schicht oder weist mehrere dünne Schichten auf. In einigen Ausführungsformen wird die Deckschicht 20b durch einen chemischen Gasphasenabscheidungsvorgang (CVD-Vorgang) oder einen Atomlagenabscheidungsvorgang (ALD-Vorgang) abgeschieden (S110). Die Deckschicht 20b kann durch einen In-situ-Vorgang oder einen Ex-situ-Vorgang ausgebildet werden. In einigen Ausführungsformen wird die Deckschicht 20b in derselben Kammer wie die CVD- oder ALD-Photoresistschicht-Ausbildungsvorgänge ausgebildet, d.h. - ein In-situ-Vorgang. In einigen Ausführungsformen ist die Deckschicht 20b eine dielektrische Schicht. In einigen Ausführungsformen wird die Deckschicht 20b aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOX, SiON oder mehrschichtigen Kombinationen davon hergestellt. In einigen Ausführungsformen werden die Siliziumoxid-, Siliziumnitrid-, Siliziumkarbid-, SiOC-, SiON-Gruppen mit einer oder mehreren polaren oder nichtpolaren Alkyl- oder Arylgruppen substituiert. In einigen Ausführungsformen werden die Siliziumoxid-, Siliziumnitrid-, Siliziumkarbid-, SiOC-, SiON-Gruppen mit einer tertiären Alkyl- oder tertiären Phenylgruppe substituiert. In einigen Ausführungsformen ist der Substituent eine tert-Butylgruppe.
  • In einigen Ausführungsformen ist die Deckschicht 20b eine rotationsbeschichtete Schicht, wie z.B. ein Hexamethyldisilazan (HMDS), ein Spin-On-Glas (SOG), ein Polymethylmethacrylat (PMMA) oder ein Spin-On-Kohlenstoff (SOC). In solchen Ausführungsformen wird die Deckschicht 20b in einer anderen Kammer als die Photoresistschicht-Ausbildungsvorgänge ausgebildet (d.h. - ein Ex-situ-Vorgang). In einigen Ausführungsformen weist die Deckschicht 20b keine Photoresistüberzugsschichten auf, die in Immersionslithografieprozessen verwendet werden. In einigen Ausführungsformen weist die Deckschicht 20b keine organischen Polymere oder HMDS auf. In einigen Ausführungsformen liegt die Dicke der Deckschicht 20b im Bereich von ungefähr 0,5 nm bis ungefähr 20 nm. Dicken der Deckschicht 20b unterhalb dieses Bereichs können unzureichend sein, um eine Feuchtigkeits- und Sauerstoffabsorption und ein Photoresistausgasen zu verhindern; und Dicken oberhalb dieses Bereichs stellen möglicherweise keine zusätzlichen Vorteile bereit und können eine anschließende Verarbeitung des Photoresists stören. In einigen Ausführungsformen ist die Deckschicht eine Monoschicht.
  • 12B zeigt einen Deckschichtausbildungsvorgang gemäß einer Ausführungsform der Offenbarung. Wie in 12B dargestellt, weisen die Liganden L im metallischen Photoresist polare hydrophile Endgruppen (-OH-Gruppen) auf. Über der Photoresistschicht wird ein mit polaren oder nichtpolaren organischen Gruppen, wie z.B. Si(OR)4, substituiertes Siliziumoxid abgeschieden. Die Deckschicht reagiert mit den polaren Gruppen (-OH-Gruppen) auf der Oberfläche der Photoresistschicht, um eine nichtpolare, hydrophobe Endgruppe (-OR-Gruppe) über der Photoresistschicht zu bilden. In einigen Ausführungsformen ist R eine Alkyl- oder Phenylgruppe. Die nichtpolaren, hydrophoben Endgruppen schützen die Photoresistschicht 15 vor Umgebungsfeuchtigkeit, indem ein Eindringen der Feuchtigkeit durch die Deckschicht 20b verhindert wird.
  • In anderen Ausführungsformen wird die Deckschicht 20b durch direkte Abscheidung von Siliziumoxid, wie z.B. SiPO2, über der Photoresistschicht mithilfe einer CVD oder ALD ausgebildet. Die SiO2-Dicke liegt im Bereich von ungefähr 3 nm bis ungefähr 20 nm. Die SiO2-Deckschicht 20b schützt die Photoresistschicht 15 davor, in direktem Kontakt mit Luft zu stehen.
  • In einigen Ausführungsformen wird die Deckschicht 20b durch eine Belichtung mit ultravioletter Strahlung, einschließlich EUV; eine thermische Behandlung; eine Entwicklerlösung; oder ein Ätzen entfernt. Die Deckschicht wird derart ausgewählt, dass sie die Absorption der aktinischen Strahlung während der selektiven Belichtung der Photoresistschicht nicht negativ beeinflusst. In einigen Ausführungsformen wird die Deckschicht 20b durch Erhitzen der Deckschicht 20b auf eine Temperatur, die im Bereich von ungefähr 50 °C bis ungefähr 400 °C liegt, entfernt. In einigen Ausführungsformen wird die Deckschicht 20b durch Belichten der Deckschicht 20b mit ultravioletter Strahlung, die eine Wellenlänge im Bereich von ungefähr 20 nm bis ungefähr 400 nm aufweist, entfernt.
  • In einigen Ausführungsformen wird eine zu strukturierende Schicht 60 über dem Substrat vor dem Bilden der Photoresistschicht angeordnet, wie in 13 dargestellt. In einigen Ausführungsformen ist die zu strukturierende Schicht 60 eine Metallisierungsschicht oder eine dielektrische Schicht, wie z.B. eine Passivierungsschicht, die über einer Metallisierungsschicht angeordnet ist. In Ausführungsformen, in denen die zu strukturierende Schicht 60 eine Metallisierungsschicht ist, wird die zu strukturierende Schicht 60 aus einem leitfähigen Material unter Verwendung von Metallisierungsprozessen und Metallabscheidungstechniken, die eine chemische Gasphasenabscheidung, eine Atomlagenabscheidung und eine physikalische Gasphasenabscheidung (Sputtern) umfassen, ausgebildet. Wenn die zu strukturierende Schicht 60 eine dielektrische Schicht ist, wird gleichermaßen die zu strukturierende Schicht 60 mithilfe von Techniken zum Bilden dielektrischer Schichten ausgebildet, die eine thermische Oxidation, eine chemische Gasphasenabscheidung, eine Atomlagenabscheidung und eine physikalische Gasphasenabscheidung umfassen.
  • Dann wird die Oberfläche der Photoresistschicht behandelt, um eine oberflächenbehandelte Schicht 20a zu bilden, wie unter Bezugnahme auf 4 erläutert, oder es wird eine Deckschicht 20b über der Photoresistschicht 15 ausgebildet, wie jeweils in 14A bzw. 14B dargestellt.
  • Die Photoresistschicht 15 wird anschließend selektiv mit aktinischer Strahlung 45 belichtet, um belichtete Gebiete 50 und nicht belichtete Gebiete 52 in der Photoresistschicht zu bilden, wie in 15A, 15B, 15C, 15D dargestellt und in Bezug auf 5A bis 5D hier beschrieben. Wie hier erläutert, ist das Photoresist in einigen Ausführungsformen ein Photoresist mit negativem Ton.
  • Wie in 16A, 16B, 16C, 16D, 16E und 16F dargestellt, werden die nicht belichteten Photoresistgebiete 52 entwickelt, wie hier unter Bezugnahme auf 6A bis 6F erläutert, um eine Struktur von Photoresistöffnungen 55 zu bilden, wie in 17 dargestellt.
  • Dann wird, wie in 18 dargestellt, die Struktur 55 in der Photoresistschicht 15 auf die zu strukturierende Schicht 60 unter Verwendung eines Ätzvorgangs übertragen und die Photoresistschicht wird entfernt, wie unter Bezugnahme auf 7 erläutert, um eine Struktur 55" in der zu strukturierenden Schicht 60 zu bilden.
  • Die neuartige Oberflächenbehandlung der Photoresistschicht oder die Deckschichtausbildung und fotolithografische Strukturierungsverfahren gemäß der vorliegenden Offenbarung stellen eine höhere Auflösung und Dichte der Halbleitervorrichtungsmerkmale bei einem höheren Waferbelichtungsdurchsatz mit reduzierten Defekten in einem Prozess mit höherer Effizienz als herkömmliche Belichtungstechniken bereit. Ausführungsformen der Offenbarung stellen stabile, mit Photoresist beschichtete Substrate bereit, die eine erhöhte Q-Zeit aufweisen (die Zeitdauer, die ein Photoresist auf einem zu strukturierenden Substrat oder einer zu strukturierenden Schicht verbleiben kann, bevor das Photoresist mit aktinischer Strahlung belichtet wird, um eine latente Struktur zu bilden). Ausführungsformen der Offenbarung verhindern eine Feuchtigkeits- und Sauerstoffabsorption der Photoresistschicht und verhindern ein Ausgasen der Photoresistschicht während einer nachfolgenden Verarbeitung. Ausführungsformen der Offenbarung verhindern eine Kontamination von Verarbeitungskammern, Handhabungswerkzeugen und anderen Wafern durch metallische Photoresistrückstände. Ausführungsformen der vorliegenden Offenbarung stellen Photoresistfilme mit einer verbesserten Stabilität bereit.
  • Eine Ausführungsform der Offenbarung ist ein Verfahren zum Bilden einer Struktur in einer Photoresistschicht, umfassend: Bilden einer Photoresistschicht über einem Substrat, und Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht. Die Photoresistschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden, und die latente Struktur wird entwickelt, indem ein Entwickler auf die selektiv belichtete Photoresistschicht aufgetragen wird, um eine Struktur zu bilden. In einer Ausführungsform weist die Photoresistschicht eine metallhaltige Photoresistzusammensetzung auf. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Bilden einer Deckschicht über der Photoresistschicht, wobei die Deckschicht aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOC, SiON oder mehrschichtigen Kombinationen davon hergestellt wird. In einer Ausführungsform ist die Deckschicht eine Monoschicht. In einer Ausführungsform wird die Deckschicht durch eine chemische Gasphasenabscheidung oder eine Atomlagenabscheidung ausgebildet. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Durchführen einer Oberflächenbehandlung an einer Oberfläche der Photoresistschicht. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Reagieren von Endgruppen von Liganden in der Photoresistschicht mit Ammoniak, einem Silan, einem Silylamin, einem Alkylhalogenid, einem Arylhalogenid, einem Siliziumhalogenid, einem Alkylamin, Carboxyalkylen oder einem Carboxyaryl. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Ersetzen von Endgruppen von Liganden in der Photoresistschicht durch eine nichtpolare organische Gruppe. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Ändern der Oberfläche der Photoresistschicht von einer hydrophilen Oberfläche in eine hydrophobe Oberfläche. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Umwandeln hydrophiler Endgruppen an Liganden in der Photoresistschicht in hydrophobe Endgruppen. In einer Ausführungsform ist die aktinische Strahlung extrem ultraviolette Strahlung. In einer Ausführungsform umfasst das Verfahren, nach dem selektiven Belichten der Photoresistschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden, und vor dem Entwickeln der latenten Struktur, ein Nachbelichtungsbacken der Photoresistschicht. In einer Ausführungsform wird das Nachbelichtungsbacken bei einer Temperatur im Bereich von 100 °C bis 500 °C durchgeführt. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Behandeln des Photoresists mit einem Plasma oder thermisches Behandeln der Oberfläche der Photoresistschicht. In einer Ausführungsform wird ein oberflächenbehandelter Abschnitt der Photoresistschicht durch eine Belichtung mit ultravioletter Strahlung, eine Belichtung mit extrem ultravioletter Strahlung, eine thermische Behandlung, eine Entwicklerlösung oder ein Ätzen entfernt. In einer Ausführungsform wird die Deckschicht durch einen chemischen Gasphasenabscheidungsvorgang (CVD-Vorgang) oder einen Atomlagenabscheidungsvorgang (ALD-Vorgang) ausgebildet. In einer Ausführungsform wird die Deckschicht durch eine Belichtung mit ultravioletter Strahlung, ein Belichten mit extremer ultravioletter Strahlung, eine thermische Behandlung, eine Entwicklerlösung oder ein Ätzen entfernt. In einer Ausführungsform wird die Deckschicht während des Entwickelns der latenten Struktur entfernt.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, das umfasst: Bilden einer Photoresistschicht über einem Substrat, indem eine erste Vorstufe und eine zweite Vorstufe in einem Dampfzustand kombiniert werden, um ein Photoresistmaterial zu bilden, und Abscheiden des Photoresistmaterials über dem Substrat. Die Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht werden reduziert. Die Photoresistschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur in der Photoresistschicht zu bilden. Die latente Struktur wird entwickelt, indem ein Entwickler auf die selektiv belichtete Photoresistschicht aufgetragen wird, um eine Struktur in der Photoresistschicht zu bilden, und die Struktur in der Photoresistschicht wird in das Substrat erweitert. In einer Ausführungsform ist die erste Vorstufe eine Organometallverbindung, die eine Formel: MaRbXc aufweist, wobei M mindestens eines von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu ist; R eine substituierte oder unsubstituierte Alkyl-, Alkenyl- oder Cyrboxylatgruppe ist; X eine Halogenid- oder Sulfonatgruppe ist; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5; und die zweite Vorstufe mindestens ein Amin, ein Boran, ein Phosphin oder Wasser ist. In einer Ausführungsform wird das Photoresistmaterial über dem Substrat mithilfe einer Atomlagenabscheidung (ALD) oder einer chemischen Gasphasenabscheidung (CVD) abgeschieden. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Ändern einer Oberfläche der Photoresistschicht von einer hydrophilen Oberfläche in eine hydrophobe Oberfläche. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorption der Photoresistschicht ein Bilden einer Deckschicht, die aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOC, SiON oder mehrschichtigen Kombinationen davon hergestellt wird. In einer Ausführungsform umfasst das Verfahren ein Entfernen der Deckschicht oder des oberflächenbehandelten Abschnitts der Photoresistschicht während des Entwickelns der latenten Struktur. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Reagieren von Endgruppen von Liganden in der Photoresistschicht mit Ammoniak, einem Silan, einem Silylamin, einem Alkylhalogenid, einem Arylhalogenid, einem Siliziumhalogenid, einem Alkylamin, einem Carboxyalkyl oder einem Carboxylaryl. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Ersetzen von Endgruppen von Liganden in der Photoresistschicht durch eine nichtpolare organische Gruppe. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Umwandeln hydrophiler Endgruppen an Liganden in der Photoresistschicht in hydrophobe Endgruppen. In einer Ausführungsform ist die Deckschicht eine Monoschicht.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, das umfasst: Abscheiden einer Photoresistzusammensetzung, die eine erste metallorganische Verbindung und eine zweite Verbindung aufweist, über einer Substratfläche mithilfe einer Atomlagenabscheidung (ALD) oder einer chemischen Gasphasenabscheidung (CVD), um eine Photoresistschicht zu bilden. Die Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht werden reduziert. Die Photoresistschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden. Die latente Struktur wird entwickelt, indem ein Entwickler auf die selektiv belichtete Photoresistschicht aufgetragen wird, um eine Struktur zu bilden, die einen Abschnitt der Substratfläche freilegt. Ein Abschnitt der Substratfläche, der durch das Entwickeln freigelegt ist, wird entfernt. In einer Ausführungsform umfasst das Entfernen eines Abschnitts der Substratfläche durch das Entwickeln ein Ätzen der Substratfläche. In einer Ausführungsform umfasst das Verfahren, nach dem selektiven Belichten der Photoresistschicht, um eine latente Struktur zu bilden, ein Erhitzen der Photoresistschicht bei einer Temperatur im Bereich von 100 °C bis 500 °C. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Reagieren von Endgruppen von Liganden in der Photoresistschicht mit Ammoniak, einem Silan, einem Alkylhalogenid, einem Siliziumhalogenid, einem Aminoalkyl oder Carboxylalkylen. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorption der Photoresistschicht ein Bilden einer Deckschicht, die aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOC, SiON oder mehrschichtigen Kombinationen davon hergestellt wird. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Ersetzen von Endgruppen von Liganden in der Photoresistschicht durch eine nichtpolare organische Gruppe. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- und Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Ändern einer Oberfläche der Photoresistschicht von einer hydrophilen Oberfläche in eine hydrophobe Oberfläche. In einer Ausführungsform umfasst das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht ein Umwandeln hydrophiler Endgruppen an Liganden in der Photoresistschicht in hydrophobe Endgruppen. In einer Ausführungsform ist die Deckschicht eine Monoschicht. In einer Ausführungsform ist die aktinische Strahlung extrem ultraviolette Strahlung.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren, das umfasst: Bilden einer Photoresistschicht über einem Substrat, ein Oberflächenbehandeln einer Fläche der Photoresistschicht oder ein Bilden einer Deckschicht, die aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOC, SiON oder mehrschichtigen Kombinationen davon hergestellt wird, über der Photoresistschicht. Die Photoresistschicht wird strukturweise vernetzt, und ein nicht vernetzter Abschnitt der Photoresistschicht wird während der strukturweisen Vernetzung entfernt, um eine Struktur in der Photoresistschicht zu bilden. In einer Ausführungsform umfasst das Verfahren ein Erhitzen der Photoresistschicht nach dem strukturweisen Vernetzen und vor dem Entfernen eines nicht vernetzten Abschnitts der Photoresistschicht. In einer Ausführungsform wird die Photoresistschicht bei einer Temperatur im Bereich von 100 °C bis 500 °C während des Erhitzens der Photoresistschicht erhitzt. In einer Ausführungsform umfasst das Entfernen eines Abschnitts der Photoresistschicht ein Anwenden eines Entwicklers auf die strukturweise vernetzte Photoresistschicht. In einer Ausführungsform umfasst das Entfernen eines Abschnitts der Photoresistschicht ein Anwenden eines Plasmas auf die strukturweise vernetzte Photoresistschicht. In einer Ausführungsform wird ein oberflächenbehandelter Abschnitt der Photoresistschicht oder die Deckschicht nach dem strukturweisen Vernetzen der Photoresistschicht und vor dem Entfernen eines Abschnitts der Photoresistschicht entfernt. In einer Ausführungsform wird ein oberflächenbehandelter Abschnitt der Photoresistschicht oder die Deckschicht durch ein Belichten mit ultravioletter Strahlung, ein Belichten mit extrem ultravioletter Strahlung, eine thermische Behandlung, eine Entwicklerlösung oder ein Ätzen entfernt. In einer Ausführungsform wird die Photoresistschicht über dem Substrat ausgebildet, indem eine erste Vorstufe und eine zweite Vorstufe in einem Dampfzustand kombiniert werden, um ein Photoresistmaterial zu bilden, wobei die erste Vorstufe eine Organometallverbindung ist, die eine Formel: MaRbXc aufweist, wobei M mindestens eines von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu ist; R eine substituierte oder unsubstituierte Alkyl-, Alkenyl- oder Cyrboxylatgruppe ist; X eine Halogenid- oder Sulfonatgruppe ist; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5; und die zweite Vorstufe mindestens ein Amin, ein Boran, ein Phosphin oder Wasser ist. In einer Ausführungsform wird die Photoresistschicht über dem Substrat mithilfe einer Atomlagenabscheidung (ALD) oder einer chemischen Gasphasenabscheidung (CVD) abgeschieden.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Strukturieren einer Photoresistschicht, das ein Abscheiden einer Photoresistschicht über einer Substratfläche mithilfe einer Atomlagenabscheidung (ALD) oder einer chemischen Gasphasenabscheidung (CVD) umfasst. Die Photoresistschicht weist ein Reaktionsprodukt einer metallorganischen Verbindung und mindestens eines von einem Amin, einem Boran, einem Phosphin und Wasser auf. Eine Fläche der Photoresistschicht wird oberflächenbehandelt oder eine Deckschicht wird über der Photoresistschicht ausgebildet. Nach dem Behandeln der Fläche der Photoresistschicht oder dem Bilden der Deckschicht wird die Photoresistschicht strukturweise vernetzt, um eine latente Struktur in der Photoresistschicht zu bilden. Die latente Struktur wird entwickelt, indem ein Entwickler auf die strukturweise vernetzte Photoresistschicht aufgetragen wird, um eine Struktur zu bilden, die einen Abschnitt der Substratfläche freilegt. In einer Ausführungsform umfasst das Verfahren ein erstes Erhitzen der Photoresistschicht vor dem strukturweisen Vernetzen. In einer Ausführungsform wird die Oberflächenbehandlung oder das Bilden der Deckschicht vor dem ersten Erhitzen durchgeführt. In einer Ausführungsform wird die Oberflächenbehandlung oder das Bilden der Deckschicht nach dem ersten Erhitzen durchgeführt. In einer Ausführungsform umfasst das Verfahren ein zweites Erhitzen der Photoresistschicht nach dem strukturweisen Vernetzen. In einer Ausführungsform wird das zweite Erhitzen der Photoresistschicht bei einer Temperatur im Bereich von 100 °C bis 500 °C durchgeführt. In einer Ausführungsform umfasst das Verfahren ein Entfernen eines freigelegten Abschnitts der Substratfläche nach dem Entwickeln. In einer Ausführungsform umfasst das strukturweise Vernetzen der Photoresistschicht ein strukturweises Belichten der Photoresistschicht mit extrem ultravioletter Strahlung.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, das ein Abscheiden eines Reaktionsproduktes einer metallorganischen Dampfphasenverbindung und einer zweiten Dampfphasenverbindung über einem Substrat, um eine Photoresistschicht über dem Substrat zu bilden. Die metallorganische Verbindung weist eine Formel: MaRbXc auf, wobei M mindestens eines von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu ist; R eine substituierte oder unsubstituierte Alkyl-, Alkenyl- oder Cyrboxylatgruppe ist; X eine Halogenid- oder Sulfonatgruppe ist; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5; und die zweite Dampfphasenverbindung mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser ist. Eine Fläche der Photoresistschicht wird oberflächenbehandelt oder eine Deckschicht wird über der Photoresistschicht ausgebildet. Die Photoresistschicht wird strukturweise vernetzt, um eine latente Struktur in der Photoresistschicht zu bilden. Nach dem strukturweisen Vernetzen der Photoresistschicht wird ein oberflächenbehandelter Abschnitt der Photoresistschicht oder die Deckschicht entfernt. Die latente Struktur wird entwickelt, indem ein Entwickler auf die strukturweise vernetzte Photoresistschicht aufgetragen wird, um eine Struktur zu bilden, die einen Flächenabschnitt des Substrats freilegt. In einer Ausführungsform wird der oberflächenbehandelte Abschnitt der Photoresistschicht oder die Deckschicht während des Entwickelns der latenten Struktur entfernt. In einer Ausführungsform umfasst das strukturweise Vernetzen der Photoresistschicht ein strukturweises Belichten der Photoresistschicht mit extrem ultravioletter Strahlung über einen oberflächenbehandelten Abschnitt der Photoresistschicht oder die Deckschicht, und ein Erhitzen der strukturweise belichteten Photoresistschicht. In einer Ausführungsform wird die strukturweise belichtete Photoresistschicht bei einer Temperatur im Bereich von 100 °C bis 500 °C erhitzt. In einer Ausführungsform wird die Deckschicht ausgebildet und die Deckschicht ist keine lichtempfindliche Schicht. In einer Ausführungsform umfasst das Verfahren ein Entfernen eines durch das Entwickeln freigelegten Abschnitts des Substrats. In einer Ausführungsform umfasst das Verfahren ein Erhitzen der Photoresistschicht bei einer Temperatur im Bereich von 40 °C bis 150 °C vor dem strukturweisen Vernetzen der Photoresistschicht. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Reagieren von Endgruppen von Liganden in der Photoresistschicht mit Ammoniak, einem Silan, einem Silylamin, einem Alkylhalogenid, einem Arylhalogenid, einem Siliziumhalogenid, einem Alkylamin, einem Carboxyalkyl oder einem Carboxyaryl. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Ersetzen von Endgruppen von Liganden in der Photoresistschicht durch eine nichtpolare organische Gruppe. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Ändern der Oberfläche der Photoresistschicht von einer hydrophilen Oberfläche in eine hydrophobe Oberfläche. In einer Ausführungsform umfasst die Oberflächenbehandlung ein Umwandeln hydrophiler Endgruppen an Liganden in der Photoresistschicht in hydrophobe Endgruppen. In einer Ausführungsform ist die Deckschicht eine Monoschicht. In einer Ausführungsform wird die Deckschicht aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOX, SiON oder mehrschichtigen Kombinationen davon hergestellt.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Strukturieren einer Photoresistschicht, das ein Abscheiden einer Photoresistschicht über einem Substrat mithilfe eines Gasphasenabscheidungsvorgans umfasst. Die Photoresistschicht weist ein Reaktionsprodukt einer metallorganischen Verbindung und einer zweiten Verbindung, wobei die zweite Verbindung mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser ist. Eine Deckschicht wird über der Photoresistschicht ausgebildet, wobei die Deckschicht aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOX, SiON oder mehrschichtigen Kombinationen davon hergestellt ist. Die Photoresistschicht wird selektiv mit aktinischer Strahlung durch die Deckschicht belichtet, um eine latente Struktur in der Photoresistschicht zu bilden. Die Deckschicht wird entfernt, und Abschnitte der Photoresistschicht, die nicht mit der aktinischen Strahlung belichtet wurden, werden entfernt, um eine Struktur verbleibender Abschnitte der Photoresistschicht zu bilden, die mit der aktinischen Strahlung während des selektiven Belichtens der Photoresistschicht belichtet wurden. In einer Ausführungsform umfasst das Verfahren ein Entfernen von Abschnitten des Substrats, die durch das Entfernen von Abschnitten der Photoresistschicht freigelegt wurden. In einer Ausführungsform umfasst das Entfernen von Abschnitten des Substrats ein Trockenätzen des Substrats. In einer Ausführungsform umfasst das Entfernen der Abschnitte der Photoresistschicht ein Anwenden eines Plasmas auf die Photoresistschicht. In einer Ausführungsform umfasst der Gasphasenabscheidungsvorgang eine Atomschichtabscheidung oder eine chemische Gasphasenabscheidung. In einer Ausführungsform wird die Deckschicht durch eine Atomlagenabscheidung oder eine chemische Gasphasenabscheidung ausgebildet. In einer Ausführungsform umfasst das Verfahren ein Erhitzen der Photoresistschicht bei einer Temperatur im Bereich von 40 °C bis 150 °C vor dem selektiven Belichten der Photoresistschicht mit aktinischer Strahlung. In einer Ausführungsform wird die Deckschicht vor dem Erhitzen der Photoresistschicht bei einer Temperatur im Bereich von 40 °C bis 150 °C ausgebildet. In einer Ausführungsform wird die Deckschicht nach dem Erhitzen der Photoresistschicht bei einer Temperatur im Bereich von 40 °C bis 150 °C ausgebildet. in einer Ausführungsform wird die Deckschicht während des Entfernens von Abschnitten der Photoresistschicht, die nicht mit der aktinischen Strahlung belichtet wurden, entfernt. In einer Ausführungsform umfasst das Verfahren ein Erhitzen der Deckschicht und der Photoresistschicht bei einer Temperatur im Bereich von 100 °C bis 500 °C nach dem selektiven Belichten der Photoresistschicht mit aktinischer Strahlung.
  • Vorstehende sind Merkmale von mehreren Ausführungsformen oder Beispielen umrissen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage zum Entwerfen und Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen oder Beispiele zu erzielen. Der Fachmann sollte ferner erkennen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63002297 [0001]
    • US 63026695 [0001]

Claims (20)

  1. Verfahren zur Herstellung einer Struktur in einer Photoresistschicht, umfassend: Bilden einer Photoresistschicht über einem Substrat; Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht; selektives Belichten der Photoresistschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden; und Entwickeln der latenten Struktur, indem ein Entwickler auf die selektiv belichtete Photoresistschicht aufgetragen wird, um eine Struktur zu bilden.
  2. Verfahren nach Anspruch 1, wobei die Photoresistschicht eine metallhaltige Photoresistzusammensetzung aufweist.
  3. Verfahren nach Anspruch 2, wobei das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht umfasst: Bilden einer Deckschicht über der Photoresistschicht, wobei die Deckschicht aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOC, SiON oder mehrschichtigen Kombinationen davon gebildet wird.
  4. Verfahren nach Anspruch 3, wobei die Deckschicht eine Monoschicht ist.
  5. Verfahren nach Anspruch 3 oder 4, wobei die Deckschicht durch eine chemische Gasphasenabscheidung oder eine Atomlagenabscheidung gebildet wird.
  6. Verfahren nach einem der Ansprüche 2 bis 5, wobei das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht umfasst: Durchführen einer Oberflächenbehandlung an einer Oberfläche der Photoresistschicht.
  7. Verfahren nach Anspruch 6, wobei die Oberflächenbehandlung umfasst: Reagieren von Endgruppen von Liganden in der Photoresistschicht mit Ammoniak, einem Silan, einem Alkylhalogenid, einem Siliziumhalogenid, einem Aminoalkyl oder Carboxylalkylen.
  8. Verfahren nach Anspruch 6, wobei die Oberflächenbehandlung umfasst: Ersetzen von Endgruppen von Liganden in der Photoresistschicht durch eine nichtpolare organische Gruppe.
  9. Verfahren nach einem der Ansprüche 6 bis 8, wobei die Oberflächenbehandlung umfasst: Verändern der Oberfläche der Photoresistschicht von einer hydrophilen Oberfläche zu einer hydrophoben Oberfläche.
  10. Verfahren nach einem der vorhergehenden Ansprüche 6 bis 9, wobei die Oberflächenbehandlung umfasst: Umwandeln hydrophiler Endgruppen an Liganden in der Photoresistschicht in hydrophobe Endgruppen.
  11. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Bilden einer Photoresistschicht über einem Substrat, umfassend: - Kombinieren eines ersten Vorläufers und eines zweiten Vorläufers in einem Dampfzustand, um ein Photoresistmaterial zu bilden; und - Abscheiden des Photoresistmaterials über dem Substrat; Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht; selektives Belichten der Photoresistschicht mit aktinischer Strahlung, um eine latente Struktur in der Photoresistschicht zu bilden; Entwickeln der latenten Struktur, indem ein Entwickler auf die selektiv belichtete Photoresistschicht aufgetragen wird, um eine Struktur in der Photoresistschicht zu bilden; und Erweitern der Struktur in der Photoresistschicht in das Substrat.
  12. Verfahren nach Anspruch 11, wobei der erste Vorläufer eine Organometallverbindung gemäß einer Formel MaRbXc ist, wobei: M mindestens eines von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu ist; R eine substituierte oder unsubstituierte Alkyl-, Alkenyl- oder Carboxylatgruppe ist, X eine Halogenid- oder Sulfonatgruppe ist, und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5, und der zweite Vorläufer mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser ist.
  13. Verfahren nach Anspruch 11 oder 12, wobei das Photoresistmaterial über dem Substrat durch eine Atomlagenabscheidung (ALD) oder eine chemische Gasphasenabscheidung (CVD) abgeschieden wird.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht umfasst: Ändern einer Oberfläche der Photoresistschicht von einer hydrophilen Oberfläche zu einer hydrophoben Oberfläche.
  15. Verfahren nach einem der Ansprüche 11 bis 14, wobei das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorption der Photoresistschicht umfasst: Bilden einer Deckschicht, die aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOC, SiON oder mehrschichtigen Kombinationen davon hergestellt wird.
  16. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Abscheiden einer Photoresistzusammensetzung, die eine erste metallorganische Verbindung und eine zweite Verbindung aufweist, über einer Substratfläche durch eine Atomlagenabscheidung (ALD) oder eine chemische Gasphasenabscheidung (CVD), um eine Photoresistschicht zu bilden; Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht; selektives Belichten der Photoresistschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden; Entwickeln der latenten Struktur, indem ein Entwickler auf die selektiv belichtete Photoresistschicht aufgetragen wird, um eine Struktur zu bilden, die einen Abschnitt der Substratfläche freilegt; und Entfernen eines Abschnitts der Substratfläche, der durch das Entwickeln freigelegt ist.
  17. Verfahren nach Anspruch 16, wobei das Entfernen eines Abschnitts der Substratfläche durch das Entwickeln umfasst: Ätzen der Substratfläche.
  18. Verfahren nach Anspruch 16 oder 17, ferner umfassend: nach dem selektiven Belichten der Photoresistschicht, um eine latente Struktur zu bilden, Erhitzen der Photoresistschicht bei einer Temperatur von 100 °C bis 500 °C.
  19. Verfahren nach einem der Ansprüche 16 bis 18, wobei das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorptionseigenschaften der Photoresistschicht umfasst: Reagieren von Endgruppen von Liganden in der Photoresistschicht mit Ammoniak, einem Silan, einem Silylamin, einem Alkylhalogenid, einem Arylhalogenid, einem Siliziumhalogenid, einem Alkylamin, einem Carboxyalkyl oder einem Carboxyaryl.
  20. Verfahren nach einem der Ansprüche 16 bis 19, wobei das Reduzieren von Feuchtigkeits- oder Sauerstoffabsorption der Photoresistschicht umfasst: Bilden einer Deckschicht, die aus einem Siliziumoxid, einem Siliziumnitrid, einem Siliziumkarbid, SiOC, SiON oder mehrschichtigen Kombinationen davon gebildet wird.
DE102021101486.7A 2020-03-30 2021-01-25 Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur Pending DE102021101486A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002297P 2020-03-30 2020-03-30
US63/002,297 2020-03-30
US202063026695P 2020-05-18 2020-05-18
US63/026,695 2020-05-18
US17/150,403 2021-01-15
US17/150,403 US11705332B2 (en) 2020-03-30 2021-01-15 Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern

Publications (1)

Publication Number Publication Date
DE102021101486A1 true DE102021101486A1 (de) 2021-09-30

Family

ID=76885249

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021101486.7A Pending DE102021101486A1 (de) 2020-03-30 2021-01-25 Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur

Country Status (4)

Country Link
US (1) US20230326754A1 (de)
CN (1) CN113156770A (de)
DE (1) DE102021101486A1 (de)
TW (1) TWI799820B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116263564A (zh) * 2021-12-13 2023-06-16 长鑫存储技术有限公司 光刻胶图案的形成方法和光刻胶结构

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69126586T2 (de) * 1990-08-30 1997-11-27 At & T Corp Verfahren zur Herstellung einer Vorrichtung
CA2413592A1 (en) * 2000-06-23 2002-01-03 Nigel P. Hacker Method to restore hydrophobicity in dielectric films and materials
US6555234B1 (en) * 2001-02-01 2003-04-29 Advanced Micro Devices, Inc. Barrier for and a method of reducing outgassing from a photoresist material
KR100527667B1 (ko) * 2003-02-28 2005-11-28 삼성전자주식회사 실리콘계 고분기 고분자 계면 활성제, 이의 제조방법 및이를 포함하는 린스용액을 이용한 린스방법
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7074727B2 (en) * 2003-07-09 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving dielectric properties in low-k organosilicate dielectric material
JP2008538013A (ja) * 2005-04-15 2008-10-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 溶媒系中の自己組織化単分子膜を用いた高線量イオン注入フォトレジストの除去
US20090197405A1 (en) * 2005-12-07 2009-08-06 Nxp B.V. Method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
TWI345681B (en) * 2006-02-08 2011-07-21 Showa Denko Kk Antistatic agent, antistatic film and articles coated with antistatic film
US20090162800A1 (en) * 2007-12-20 2009-06-25 David Abdallah Process for Imaging a Photoresist Coated over an Antireflective Coating
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5795221B2 (ja) * 2011-09-26 2015-10-14 株式会社東芝 パターン形成方法
KR101659915B1 (ko) * 2012-08-29 2016-09-26 금호석유화학 주식회사 신규 아크릴계 단량체, 중합체 및 이를 포함하는 레지스트 조성물
KR102346806B1 (ko) * 2013-12-30 2022-01-04 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토레지스트 패턴 트리밍 조성물 및 방법
KR101989707B1 (ko) * 2014-07-08 2019-06-14 도쿄엘렉트론가부시키가이샤 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
US10007177B2 (en) * 2015-08-21 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using double exposures
WO2017056828A1 (ja) * 2015-09-30 2017-04-06 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び積層体
KR101965278B1 (ko) * 2016-10-31 2019-04-03 삼성에스디아이 주식회사 감광성 수지 조성물, 이를 이용한 감광성 수지막 및 컬러필터
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
US10656527B2 (en) * 2017-12-21 2020-05-19 International Business Machines Corporation Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
EP3791231A4 (de) * 2018-05-11 2022-01-26 Lam Research Corporation Verfahren zur herstellung von euv-musterbaren hartmasken
US11287740B2 (en) * 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Also Published As

Publication number Publication date
CN113156770A (zh) 2021-07-23
TWI799820B (zh) 2023-04-21
US20230326754A1 (en) 2023-10-12
TW202205374A (zh) 2022-02-01

Similar Documents

Publication Publication Date Title
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
DE102021113271A1 (de) Verfahren zur Herstellung eines Halbleiterbauelement und Musterbildungsverfahren
KR102405489B1 (ko) 반도체 디바이스 제조 방법
DE102021101486A1 (de) Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur
US20230369048A1 (en) Method of manufacturing a semiconductor device
DE102021101492A1 (de) Herstellungsverfahren einer halbleitervorrichtung
US11784046B2 (en) Method of manufacturing a semiconductor device
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
DE102020129681B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021101893A1 (de) Verhindern eines ausgasens einer fotolackschicht
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240210821A1 (en) Precursors and methods for producing bismuth-oxy-carbide-based photoresist
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
KR20230170786A (ko) 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트
US20230143629A1 (en) EUV Active Films for EUV Lithography
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
US7022622B2 (en) Method and structure to improve properties of tunable antireflective coatings
CN118284855A (zh) Euv光刻用euv活性膜

Legal Events

Date Code Title Description
R012 Request for examination validly filed