DE102006003100B4 - Vorrichtung und Verfahren zur Herstellung eines Halbleiterbauelements - Google Patents

Vorrichtung und Verfahren zur Herstellung eines Halbleiterbauelements Download PDF

Info

Publication number
DE102006003100B4
DE102006003100B4 DE200610003100 DE102006003100A DE102006003100B4 DE 102006003100 B4 DE102006003100 B4 DE 102006003100B4 DE 200610003100 DE200610003100 DE 200610003100 DE 102006003100 A DE102006003100 A DE 102006003100A DE 102006003100 B4 DE102006003100 B4 DE 102006003100B4
Authority
DE
Germany
Prior art keywords
gas
source
supply line
valve
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE200610003100
Other languages
English (en)
Other versions
DE102006003100A1 (de
Inventor
Seok-Jun Won
Yong-Min Yoo
Dae-Youn Kim
Young-Hoon Kim
Dae-jin Suwon Kwon
Weon-Hong Suwon Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Asm Korea Ltd Hwaseong-Si Kr
Samsung Electronics Co Ltd
Original Assignee
ASM GENITECH KOREA Inc
ASM GENITECH KOREA Inc
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020050076968A external-priority patent/KR100699861B1/ko
Application filed by ASM GENITECH KOREA Inc, ASM GENITECH KOREA Inc, Samsung Electronics Co Ltd filed Critical ASM GENITECH KOREA Inc
Publication of DE102006003100A1 publication Critical patent/DE102006003100A1/de
Application granted granted Critical
Publication of DE102006003100B4 publication Critical patent/DE102006003100B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Vorrichtung zur Herstellung eines Halbleiterbauelements mit
– einer Reaktionskammer (110), in der ein Substrat verarbeitet wird, um das Halbleiterbauelement herzustellen,
– einer ersten Prozessgaszufuhrleitung (128a), die der Reaktionskammer ein Spülgas als ein erstes Prozessgas zuführt,
– einem Vierwegeventil (150) mit einem ersten Einlass (150d), einem zweiten Einlass (150c), einem ersten Auslass (150b) und einem zweiten Auslass (150a), wobei das Vierwegeventil mit dem ersten Einlass reaktionskammerabgewandt und dem ersten Auslass reaktionskammerzugewandt in der ersten Prozessgaszufuhrleitung (128a) installiert ist und der erste Auslass über eine erste Durchgangsöffnung (128b) kontinuierlich mit dem ersten Einlass in Verbindung steht und der zweite Auslass über eine zweite Durchgangsöffnung (150h2, 150h3, 151) kontinuierlich mit dem zweiten Einlass in Verbindung steht und die erste und die zweite Durchgangsöffnung in einer ersten Schaltstellung des Vierwegeventils gegeneinander abgesperrt sind und in einer zweiten Schaltstellung des Vierwegeventils miteinander in Verbindung stehen,
– einer zweiten Prozessgaszufuhrleitung (122a), die...

Description

  • Die Erfindung bezieht sich auf eine Vorrichtung zur Herstellung eines Halbleiterbauelements und ein Verfahren zur Herstellung eines Halbleiterbauelements unter Verwendung der Vorrichtung.
  • Halbleiterbauelemente werden durch wiederholtes Durchführen von Prozessen hergestellt, wie Deposition und Strukturieren einer dünnen Schicht auf einer Oberfläche eines Substrats, d.h. eines Wafers. Deposition und Strukturieren einer dünnen Schicht wird üblicherweise in einem Halbleiterprozessmodul durchgeführt. Ein Halbleiterprozessmodul weist eine Konfiguration auf, die in Abhängigkeit von einem bei der Herstellung eines Halbleiterbauelements durchzuführenden Prozess unterschiedlich ist, es beinhaltet jedoch grundsätzlich eine Reaktionskammer, die ein Reaktionsgebiet definiert, in das ein Wafer geladen und hermetisch abgedichtet wird, sowie ein Ventilsystem, das der Reaktionskammer ein Gasmaterial zuführt.
  • Üblicherweise werden chemische Gasphasenabscheidung (CVD) oder atomare Schichtdeposition (ALD) dazu verwendet, einen dünnen Film auf einem Wafer durch eine chemische Reaktion eines Gasmaterials abzuscheiden. Anders als bei physikalischer Abscheidung unter Verwenden von Sputtern sind CVD und ALD einander dahingehend ähnlich, dass sie eine chemische Reaktion zwischen zwei oder mehr Gasmaterialien verwenden. Bei CVD werden jedoch einem Reaktionsgebiet in einer Reaktionskammer, die einen Wafer enthält, mehrere Gasmaterialien gleichzeitig zugeführt, so dass ein Reaktionsprodukt auf einer Oberfläche des Wafers von oben abgeschieden wird. Im Gegensatz dazu werden bei ALD dem Reaktionsgebiet in der Reaktionskammer mehrere Gasmaterialien sequentiell zugeführt, so dass eine chemische Reaktion zwischen den Gasmaterialien auf ausschließlich die Oberfläche des Wafers beschränkt ist.
  • Trotz eines Nachteils, dass die ALD langsam hinsichtlich der Deposition ist, da die chemische Reaktion auf ausschließlich die Oberfläche eines Wafers beschränkt ist, ist ALD wichtig bei der Herstellung einer dielektrischen Schicht, einer Diffusionsverhinderungsschicht, einer Gatedielektrikumschicht etc. für einen Speicherkondensator, der einen dünnen Film hoher Reinheit und hoher Gleichmäßigkeit erfordert. ALD ist vorteilhaft dahingehend, dass Deposition und Dicke eines dünnen Films, dessen Dicke mit der Mikroverkleinerung eines Halbleiterbauelements verringert wird, präzise gesteuert werden können.
  • Aufgrund der Eigenschaften der ALD ist ein Spülprozess zur Entfernung von in einer Reaktionskammer verbliebenem Gas vor und nach der Zufuhr eines Gasmaterials in die Reaktionskammer obligatorisch, wenn Gasmaterialien sequentiell zugeführt werden.
  • 1 ist eine schematische Darstellung, die ein Gasventilsystem einer herkömmlichen ALD-Vorrichtung zeigt, in der ALD durchgeführt wird.
  • 2 ist eine vergrößerte Ansicht eines Teils des Gasventilsystems, in dem ein Totvolumen (DV) auftritt. 3 ist eine Querschnittansicht des in 2 gezeigten Teils entlang der Linie AA', in der ein Zweiwegeventil geschlossen ist. 4 ist eine Querschnittansicht des in 2 gezeigten Teils entlang der Linie AA', in der das Zweiwegeventil offen ist.
  • Die herkömmliche ALD-Vorrichtung und die diese verwendende ALD werden unter Bezugnahme auf die 1 bis 4 kurz beschrieben. Bezugnehmend auf 1 führen eine Versorgungsquelle 22 für ein Quellengas, eine Versorgungsquelle 24 für ein reaktives Gas, eine Versorgungsquelle 28 für ein Spülgas, eine Versorgungsquelle 26 für ein erstes Trägergas und eine Versorgungsquelle 30 für ein zweites Trägergas ein Quellengas S1, ein reaktives Gas S2, ein Spülgas P2 bzw. Trägergase P1 und P3, z.B. Argongase, einer Reaktionskammer 10 über eine Vorsorgungsleitung 22a für ein Quellengas, eine Versorgungsleitung 24a für ein reaktives Gas, eine Versorgungsleitung 28a für ein Spülgas, eine Versorgungsleitung 26a für ein erstes Trägergas beziehungsweise eine Versorgungsleitung 30a für ein zweites Trägergas zu.
  • An der Rückseite der Reaktionskammer 10 ist eine Abzugspumpe 12 installiert, um den Innendruck der Reaktionskammer 10 zu steuern. Zwischen der Reaktionskammer 10 und der Abzugspumpe 12 ist ein Drosselventil 14 installiert, um den Innendruck der Reaktionskammer 10 konstant zu halten.
  • In einer Versorgungsleitung für ein Quellengas ist die Versorgungsleitung 26a für das erste Trägergas mit der Versorgungsquelle 26 für das erste Trägergas verbunden und erstreckt sich von dieser aus, um das Trägergas P1 zuzuführen. Die Versorgungsquelle 22 für das Quellengas ist parallel durch ein erstes und ein zweites Dreiwegeventil 32 und 34 verbunden. Ein Ein/Aus-Ventil, d.h. ein erstes Zweiwege-Absperrventil 42, ist zwischen dem ersten und dem zweiten Dreiwegeventil 32 und 34 installiert. Ein Bypass 16 ist mit der Versorgungsleitung 26a für das erste Trägergas hinter dem zweiten Dreiwegeventil 34 durch ein drittes Dreiwegeventil 36 verbunden. Ein Ende des Bypasses 16 ist zwischen das Drosselventil 14 und die Abzugspumpe 12 an eine Abzugsleitung 13 angeschlossen. Ein Ende der Versorgungsleitung 26a für das erste Trägergas ist durch ein viertes Dreiwegeventil 38 mit der Versorgungsleitung 28a für das Spülgas verbunden.
  • In einer Versorgungsleitung für ein Spülgas wird das Spülgas P2 der Reaktionskammer 10 durch die Versorgungsleitung 28a für das Spülgas von der Versorgungsquelle 28 für das Spülgas zugeführt. Das vierte Dreiwegeventil 28 ist an einer Verbindungsstelle der Versorgungsleitung 28a für das Spülgas und der Versorgungsleitung 26a für das erste Trägergas installiert. Ein zweites Absperrventil 44 ist zwischen der Versorgungsquelle 28 für das Spülgas und dem vierten Dreiwegeventil 38 installiert.
  • In einer Versorgungsleitung für ein reaktives Gas wird das Trägergas P3 der Reaktionskammer 10 durch die Versorgungsleitung 30a für das zweite Trägergas von der Versorgungsquelle 30 für das zweite Trägergas zugeführt, und das reaktive Gas S2 wird der Reaktionskammer 10 durch die Versorgungsleitung 24a für das reaktive Gas und die Versorgungsleitung 30a für das zweite Trägergas, mit der die Versorgungsleitung 24a für das reaktive Gas verbunden ist, von der Versorgungsquelle 24 für das reaktive Gas zugeführt. Ein drittes Absperrventil 26 ist zwischen der Reaktionskammer 10 und der Verbindungsstelle der Versorgungsleitung 24a für das reaktive Gas und der Versorgungsleitung 30a für das zweite Trägergas installiert. Ein viertes Absperrventil 48 ist zwischen der Verbindungsstelle und der Versorgungsquelle 24 für das reaktive Gas installiert.
  • Der offene/geschlossene Zustand des Einlasses und des Auslasses des dritten und des vierten Dreiwegeventils 36 und 38 wird unter Bezugnahme auf die 2 bis 4 beschrieben. Anders als bei den 2 bis 4 stellt 1 den Einlass und den Auslass des dritten und des vierten Dreiwegeventils 36 und 38 entsprechend einer Strömungsrichtung des zugeführten Gases nur funktionell dar.
  • Das dritte und das vierte Dreiwegeventil 36 und 38 sind Membranventile. Es wird ein Fluss eines Gasmaterials entsprechend einem Ein/Aus-Zustand des dritten Dreiwegeventils 36 beschrieben. Das dritte Dreiwegeventil 36, das an der Verbindungsstelle der Versorgungsleitung 26a für das erste Trägergas und des Bypasses 16 installiert ist, beinhaltet eine erste vertikale Durchgangsöffnung 36h1, die vertikal mit der Versorgungsleitung 26a für das erste Trägergas verbunden ist und geradlinig durch einen Körper 36c hindurchgeht, sowie eine zweite vertikale Durchgangsöffnung 36h2, die vertikal mit einem Ende des Bypasses 16 verbunden ist. Eine Membran 36e, die durch einen Druck nach oben und unten bewegt wird, ist über einer Oberfläche des Körpers 36c, an der die erste und die zweite vertikale Durchgangsöffnung 36h1 und 36h2 münden, innerhalb eines Gehäuses 36d installiert, um einen vorgegebenen Raum zu definieren.
  • Wenn das dritte Dreiwegeventil 36 ausgeschaltet ist, das heißt, wenn sich die Membran 36e nach unten bewegt und die Oberfläche des Körpers 36c dicht kontaktiert, um die erste und die zweite vertikale Durchgangsöffnung 36h1 und 36h2 zu schließen, wie in 3 gezeigt, ist die Versorgungsleitung 26a für das erste Trägergas offen und ermöglicht, dass das erste Trägergas P1 oder das Quellengas S2 zu dem vierten Dreiwegeventil 38 strömen, ein Gasfluss zu dem Bypass 16 ist jedoch blockiert.
  • Wenn das dritte Dreiwegeventil 36 eingeschaltet ist, das heißt, wenn sich die Membran 36e nach oben bewegt und von der Oberfläche des Körpers 36c separiert ist, um die erste und die zweite vertikale Durchgangsöffnung 36h1 und 36h2 zu öffnen, wie in 4 gezeigt, ist die Versorgungsleitung 26a für das erste Trägergas offen und ermöglicht, dass das erste Trägergas P1 oder das Quellengas S2 zu dem vierten Dreiwegeventil 38 strömt, und gleichzeitig durchquert ein Gasmaterial, das aus der ersten vertikalen Durchgangsöffnung 36h1 ausströmt, einen Raum zwischen der Oberfläche des Körpers 36c und der Membran 36e und strömt durch die zweite vertikale Durchgangsöffnung 36h2 in den Bypass 16.
  • Bezugnehmend auf die 1 bis 4 ist ungeachtet des Ein/Aus-Zustands des dritten Dreiwegeventils 36 ein zweiter Auslass 36b des dritten Dreiwegeventils 36 offen. Ob das erste Trägergas P1 oder das Quellengas S1 der Reaktionskammer 10 durch das dritte und vierte Dreiwegeventil 36 und 38 zugeführt wird, ist demgemäß davon abhängig, ob ein Einlass 38b des vierten Dreiwegeventils 38 offen oder geschlossen ist. Wenn der Einlass 38b des vierten Dreiwegeventils 38 geschlossen ist, strömt als Ergebnis das erste Trägergas P1 oder das Quellengas S1 nicht zu dem vierten Dreiwegeventil 38, sondern strömt in den Bypass 16, selbst wenn der zweite Auslass 36b des dritten Dreiwegeventils 36 offen ist.
  • Im Folgenden wird ein Prozess zur Deposition eines Reaktionsprodukts S1 + S2 zur Bildung eines dünnen Films auf einer Oberfläche eines Substrats unter Verwendung von ALD beschrieben, wobei das Quellengas S1 und das reaktive Gas S2 verwendet werden.
  • In einer pulsierenden Quellengasstufe wird das Quellengas S1 der mit einem Wafer, d.h. dem Substrat (nicht gezeigt), geladenen Reaktionskammer 10 zugeführt, so dass ein Quellengasmaterial mit einer Oberfläche des Substrats in Verbindung gebracht wird. Hierbei ist das erste Absperrventil 42 ausgeschaltet und dadurch geschlossen; ein erster Auslass 32a des ersten Dreiwegeventils 32 ist offen; ein Einlass 34a und ein Auslass 34b des zweiten Dreiwegeventils 34 sind offen; ein erster Auslass 36a des dritten Dreiwegeventils 36 in Richtung des Bypasses 16 ist geschlossen; der zweite Auslass 36b des dritten Dreiwegeventils 36 ist offen; und der Einlass 38b und ein Auslass 38a des vierten Dreiwegeventils 38 sind offen. Demgemäß wird das Quellengas S1 der Reaktionskammer 10 zusammen mit dem ersten Trägergas P1 zugeführt. Dabei wird das Spülgas P2 kontinuierlich der Reaktionskammer 10 zugeführt, und das zweite Trägergas P3 wird der Reaktionskammer 10 ebenfalls in einem Zustand zugeführt, in dem das vierte Absperrventil 48 geschlossen ist. Allgemein ist in einem Dreiwegeventil, wenn ein Strömungspfad geschlossen ist, ein anderer Strömungspfad offen.
  • Danach werden in einer Quellengasspülstufe Quellengasrückstände, die nicht an der Oberfläche des Substrats anhaften, aus der Reaktionskammer 10 entfernt. Hierbei ist das erste Absperrventil 42 offen; der erste Auslass 32a des ersten Dreiwegeventils 32 ist geschlossen (wenn ein zweiter Auslass 32b des ersten Dreiwegeventils 32 gemäß der Eigenschaft eines Dreiwegeventils offen ist); der Einlass 34a des zweiten Dreiwegeventils 34 ist geschlossen (wenn der Auslass 34b des zweiten Dreiwegeventils 34 offen ist); der erste Auslass 36a des dritten Dreiwegeventils 36 in Richtung zu dem Bypass 16 ist offen (wenn ein zweiter Auslass 36b des dritten Dreiwegeventils 36 offen ist); und der Einlass 38b des vierten Dreiwegeventils 38 ist geschlossen (wenn der Auslass 38a des vierten Dreiwegeventils 38 offen ist). Demgemäß strömen die Rückstände des Quellengases S1 innerhalb der Zuführungsleitungen zu dem Bypass 16 zusammen mit dem ersten Trägergas P1 und den Rückständen des Quellengases S1 innerhalb der Reaktionskammer 10, die mittels des Spülgases P2 gespült wird, das der Reaktionskammer 10 kontinuierlich zugeführt wird. Hierbei wird das zweite Trägergas P3 ebenfalls der Reaktionskammer 10 in einem Zustand zugeführt, in dem das vierte Absperrventil 48 geschlossen ist.
  • Nachfolgend wird in einer pulsierenden Stufe mit reaktivem Gas das reaktive Gas S2 der Reaktionskammer 10 in einem Zustand zugeführt, in dem das Quellengas S1 auf der Oberfläche des Substrats aufgebracht wurde, so dass das Quellengas S1 mit einem Teil des reaktiven Gases S2 reagiert, wodurch auf der Oberfläche des Substrats ein Reaktionsprodukt gebildet wird. Hierbei ist eine Zufuhrleitung für das erste Trägergas P1 und das Spülgas P2 die gleiche wie jene in der Quellengasspülstufe, mit der Ausnahme, dass das vierte Absperrventil 48 offen ist, so dass das reaktive Gas S2 der Reaktionskammer 10 zusammen mit dem zweiten Trägergas P3 zugeführt wird. Dabei wird das Spülgas P2 der Reaktionskammer 10 kontinuierlich zugeführt.
  • Nachfolgend werden in einer Spülstufe für das reaktive Gas die Rückstände des reaktiven Gases S2 außer dem Reaktionsprodukt aus dem Quellengas S1 und dem reaktiven Gas S2, das auf der Oberfläche des Substrats aufgebracht wurde, aus der Reaktionskammer 10 entfernt. Hierbei ist eine Zufuhrleitung für das erste Trägergas P1 und das Spülgas P2 die gleiche wie jene in der Quellengasspülstufe. Das vierte Absperrventil 48 ist geschlossen und der Reaktionskammer 10 wird lediglich das zweite Trägergas P3 zugeführt.
  • Wie vorstehend beschrieben, wird bei Durchführung eines Zyklus der pulsierenden Quellengasstufe, der Quellengasspülstufe, der pulsierenden reaktiven Gasstufe und der Spülstufe für das reaktive Gas das Reaktionsprodukt aus dem Quellengas S1 und dem reaktiven Gas S2 sehr dünn auf der Oberfläche des Substrats aufgebracht. Es können mehrere oder mehrere tausend Zyklen durchgeführt werden, um eine gewünschte dünne Schicht auf der Oberfläche des Substrats zu bilden.
  • Die herkömmliche ALD-Vorrichtung weist jedoch dahingehend ein Problem auf, dass ein Totvolumen (DV) auftritt, in dem keine Spülung durchgeführt wird und ein Quellengasmaterial zwischen Ventilen stehen bleibt. In 2 entspricht ein schraffierter Bereich zwischen dem dritten Dreiwegeventil 36 und dem vierten Dreiwegeventil 38 einem DV-Bereich. Im Detail wird, wenn die Quellengasspülung nach dem Quellengaspulsvor gang startet, in dem das Quellengas S1 der Reaktionskammer 10 durch das dritte Dreiwegeventil 36 und das vierte Dreiwegeventil 38 zugeführt wird, wie vorstehend beschrieben, die Zufuhr des Quellengases S1 unterbrochen, und das erste Trägergas P1 wird durch den Bypass 16 abgeführt. Hierbei verbleibt das Quellengas S1 in dem Bereich der Zufuhrleitung 26a für das erste Trägergas, der dem DV-Bereich zwischen dem dritten Dreiwegeventil 36 und dem vierten Dreiwegeventil 38 entspricht. Das verbleibende Quellengas bleibt auch noch während des nachfolgenden reaktiven Gaspulsvorgangs und der Spülstufen in der Zufuhrleitung 26a für das erste Trägergas stehen. Erst nach Beendigung eines einzelnen ALD-Zyklus strömt das verbliebene Quellengas in dem DV-Bereich in die Reaktionskammer 10, wenn der Auslass 38b des vierten Dreiwegeventils 38 in der Quellengaspulsstufe in einem nachfolgenden Zyklus offen ist.
  • Wenn ein Gasmaterial, wie ein Quellengas, in einem DV-Bereich während einer langen Zeitspanne verbleibt, tritt eine Degradation auf, und ein zusätzlicher Dummy-Prozess zur Entfernung des verbliebenen Quellengases ist erforderlich. Insbesondere wenn eine dielektrische Schicht oder eine komplexe Schicht, die mehrere Schichten aus verschiedenen Materialien beinhaltet, unter Verwendung der herkömmlichen ALD-Vorrichtung gebildet wird, können verschiedene Quellengasmaterialien in dem DV-Bereich miteinander reagieren, wodurch nutzlose Partikel erzeugt werden. Als Ergebnis kann ein mittels ALD gebildeter dünner Film Defekte oder eine geringe Gleichmäßigkeit aufweisen.
  • Das in dem DV-Bereich verbliebene Quellengasmaterial kann langsam diffundiert und abgeführt werden, es ist jedoch selbst nach mehreren Minuten nicht vollständig entfernt. Unter Berücksichtigung der Tatsache, dass ein ALD-Zyklus mehrere Sekunden benötigt, ist es sehr schwierig, ALD unter Verwendung verschiedener Arten von Quellengas ohne Spü len und Entfernen des in dem DV-Bereich verbliebenen Quellengases durchzuführen.
  • Diverse weitere Vorrichtungen zur Herstellung von Halbleiterbauelementen unter Verwendung einer Reaktionskammer sind in den Offenlegungsschriften JP 2005-015904 A , JP 2005-005588 A , JP 2003-168648 A und JP 07-058032 A sowie den Patentschriften US 5.948.169 , US 6.063.198 und EP 0 314 299 B1 offenbart. In den Offenlegungsschriften WO 87/02598 A1 und EP 0 511 115 A1 sind gasführende Vorrichtungen offenbart, bei denen unter anderem auch ein Vierwegeventil zum Einsatz kommt. Speziell wird das in EP 0 511 115 A1 offenbarte Vierwegeventil als ein Gegenströmungsventil in einer Anlage zum Testen von Wechselwirkungen von Fremdstoffen niedriger Konzentration in einem System zur Verteilung eines hochreinen Gases eingesetzt, wobei in einem ersten Ventilschaltzustand ein erster Einlass nur mit einem ersten Auslass und ein zweiter Einlass nur mit einem zweiten Auslass verbunden sind, während in einem zweiten Schaltzustand der erste Einlass nur mit dem zweiten Auslass und der zweite Einlass nur mit dem ersten Auslass verbunden sind. Das gemäß WO 87/02598 A1 in einer Gaseinlassvorrichtung für Reaktionsgefäße verwendete Vierwegeventil weist eine Durchgangsöffnung auf, die einen ersten Einlass kontinuierlich mit einem ersten Auslass in jedem von zwei Ventilschaltzuständen verbindet, während ein zweiter Einlass je nach Schaltzustand mit dieser Durchgangsöffnung oder mit einem separaten zweiten Auslass verbunden ist.
  • Der Erfindung liegt als technisches Problem die Bereitstellung einer Vorrichtung und eines Verfahrens zum Herstellen eines Halbleiterbauelements zugrunde, die in der Lage sind, die vorstehend erwähnten Nachteile des Standes der Technik zu reduzieren oder zu vermeiden.
  • Die Erfindung löst dieses Problem durch die Bereitstellung einer Vorrichtung mit den Merkmalen von Anspruch 1 und eines Verfahrens mit den Merkmalen von Anspruch 22 oder 27.
  • Vorteilhafte Weiterbildungen der Erfindung sind in den Unteransprüchen angegeben.
  • Die Erfindung stellt somit eine Vorrichtung zum Herstellen eines Halbleiterbauelements bereit, durch welche die Spüleffizienz erhöht ist, indem verhindert wird, dass in einem Gasventilsystem ein Totvolumen auftritt. Die Erfindung stellt außerdem ein Verfahren zum Herstellen eines Halbleiterbauelements bereit, durch das die Spüleffizienz erhöht wird, indem verhindert wird, dass in einem Gasventilsystem der Vorrichtung ein Totvolumen auftritt.
  • Gemäß der Erfindung ist an einer Verbindungsstelle einer Spülgaszufuhrleitung und einer Quellengaszufuhrleitung ein Vierwegeventil ausgebildet und ein Bypass ist mit einem Auslass des Vierwegeventils verbunden, so dass ein Totvolumen verhindert wird, das durch das Stehenbleiben eines Quellengases verursacht wird. Selbst wenn das Totvolumen auftritt, wird ein Gasmaterial, das in einem Totvolumenbereich stehen bleibt, einer Reaktionskammer nicht zugeführt, sondern durch den Bypass abgeführt. Demgemäß ist die Spüleffizienz erhöht, und es können zuverlässige Halbleiterbauelemente hergestellt werden.
  • Vorteilhafte Ausführungsformen werden im Folgenden beschrieben und sind in den Zeichnungen dargestellt, die außerdem herkömmliche Ausführungsformen zeigen, die vorstehend erläutert sind, um das Verständnis der Erfindung zu erleichtern. Es zeigen:
  • 1 eine schematische Darstellung, die ein Gasventilsystem einer herkömmlichen Vorrichtung zum Herstellen eines Halbleiterbauelements veranschaulicht,
  • 2 eine vergrößerte Ansicht eines Teils des Gasventilsystems, in dem ein Totvolumen (DV) auftritt,
  • 3 eine Querschnittansicht des in 2 gezeigten Teils entlang einer Linie AA', in der ein Zweiwegeventil geschlossen ist,
  • 4 eine Querschnittansicht des in 2 gezeigten Teils entlang der Linie AA', in der das Zweiwegeventil offen ist,
  • 5 eine schematische Darstellung, die eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer ersten Ausführungsform der Erfindung veranschaulicht,
  • 6 eine vergrößerte Ansicht eines wesentlichen Teils der in 5 dargestellten Vorrichtung,
  • 7 eine Querschnittansicht des in 6 gezeigten Teils entlang einer Linie CC', in der ein Zweiwegeventil geschlossen ist,
  • 8 eine Querschnittansicht des in 6 gezeigten Teils entlang einer Linie DD', in der ein Vierwegeventil geschlossen ist,
  • 9 eine schematische Darstellung, die eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer zweiten Ausführungsform der Erfindung veranschaulicht,
  • 10 eine vergrößerte Ansicht eines wesentlichen Teils der in 9 dargestellten Vorrichtung,
  • 11 eine Querschnittansicht des in 9 gezeigten Teils entlang einer Linie EE', in der ein Vierwegeventil geschlossen ist,
  • 12 eine schematische Darstellung, die eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer dritten Ausführungsform der Erfindung veranschaulicht,
  • 13 eine schematische Darstellung, die eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer vierten Ausführungsform der Erfindung veranschaulicht,
  • 14 eine schematische Darstellung, die eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer fünften Ausführungsform der Erfindung veranschaulicht, und
  • 15 eine schematische Darstellung, die eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer sechsten Ausführungsform der Erfindung veranschaulicht.
  • Die Erfindung kann auf jegliche Einrichtung angewendet werden, die im Wesentlichen einer Reaktionskammer ein Gasmaterial zuführt und Halbleiterfertigungsprozesse in der Reaktionskammer unter Verwendung des Gasmaterials durchführt. Demgemäß kann die Erfindung in Depositionsanlagen verbreitet verwendet werden, wie chemischen Gasphasenabscheidungs(CVD)-Anlagen oder atomaren Schichtdepositions(ALD)-An lagen und Ätzanlagen. Die folgenden exemplarischen Ausführungsformen der Erfindung werden in Verbindung mit ALD beschrieben, die Erfindung ist jedoch auch auf andere Prozesse anwendbar. Gleiche Bezugszeichen beziehen sich überall in den verschiedenen Zeichnungen auf die gleichen Teile. Die Zeichnungen sind nicht notwendigerweise maßstäblich, stattdessen wird der Schwerpunkt darauf gelegt, die Prinzipien der Erfindung zu veranschaulichen.
  • Die Vorrichtung zum Herstellen eines Halbleiterbauelements, ein Ventilsteuerverfahren sowie ein Verfahren zur Herstellung eines Halbleiterbauelements unter Verwendung der Vorrichtung gemäß einer ersten Ausführungsform der Erfindung werden unter Bezugnahme auf die 5 bis 8 detailliert beschrieben. Bezugnehmend auf 5 führen eine Quellengaszufuhrquelle 122, eine Zufuhrquelle 124 für reaktives Gas, eine Spülgaszufuhrquelle 128, eine Zufuhrquelle 126 für ein erstes Trägergas und eine Zufuhrquelle 130 für ein zweites Trägergas einer Reaktionskammer 110 über eine Quellengaszufuhrleitung 122a, eine Zufuhrleitung 124a für reaktives Gas, eine Spülgaszufuhrleitung 128a, eine Zufuhrleitung 126a für ein erstes Trägergas beziehungsweise eine Zufuhrleitung 130a für ein zweites Trägergas ein Quellengas, ein reaktives Gas, ein Spülgas und ein erstes beziehungsweise zweites Trägergas zu. Hierbei können das Quellengas und das reaktive Gas, die zur Bildung von Materialien unter Verwendung von ALD und insbesondere von Oxidmaterialien, wie SiO2, Al2O3, Ta2O5 und HfO2, und von Nitridmaterialien, wie SiN, TiN und TaN, verwendet werden, geeignet ausgewählt werden. Zum Beispiel kann zur Bildung von Aluminiumoxid (Al2O3) unter Verwendung von ALD Trimethylaluminium (TMA) als das Quellengas verwendet werden, und H2O kann als das reaktive Gas verwendet werden. Entsprechend einem zu bildenden Material kann ein Wasserstoffplasma als das reaktive Gas oder ein Sauerstoffplasma anstelle von H2O als eine Quelle für Sauerstoff verwendet werden. Argongase werden in dieser Ausführungsform als das Spülgas und als das erste und zweite Trägergas verwendet, es können jedoch diverse Gase verwendet werden.
  • In den Zeichnungen und den folgenden Beschreibungen wird im Wesentlichen ein Leitungsabschnitt, durch den der Reaktionskammer 110 das Quellengas von der Quellengaszufuhrquelle 122 zugeführt wird, als die Quellengaszufuhrleitung 122a bezeichnet; ein Leitungsabschnitt, durch den der Reaktionskammer 110 das reaktive Gas von der Zufuhrquelle 124 für reaktives Gas zugeführt wird, wird als die Zufuhrleitung 124a für reaktives Gas bezeichnet; ein Leitungsabschnitt, durch den der Reaktionskammer 110 das Spülgas von der Spülgaszufuhrquelle 128 zugeführt wird, wird als die Spülgaszufuhrleitung 128a bezeichnet; ein Leitungsabschnitt, durch den der Reaktionskammer 110 das erste Trägergas von der Zufuhrquelle 126 für das erste Trägergas zugeführt wird, wird als die Zufuhrleitung 126a für das erste Trägergas bezeichnet; und ein Leitungsabschnitt, durch den der Reaktionskammer 110 das zweite Trägergas von der zweiten Zufuhrquelle 130 für das zweite Trägergas zugeführt wird, wird als die Zufuhrleitung 130a für das zweite Trägergas bezeichnet. Da jedoch zwei oder mehr Gase durch eine einzige Leitung zugeführt werden können, kann die Leitung in der folgenden Beschreibung zwei oder mehr Bezeichnungen aufweisen.
  • Wenngleich im übrigen die Reaktionskammer 110 nicht spezifisch dargestellt ist, ist sie derart ausgelegt, dass ein Substrat, d.h. ein Wafer, das zur Herstellung von Halbleiterbauelementen verwendet wird, in diese geladen und dort gehalten wird. Die Reaktionskammer 110 kann eine Reaktionskammer vom Einzelwafertyp oder Batchtyp sein und kann mit einer Einrichtung zum Induzieren eines Plasmas innerhalb der Reaktionskammer 110 kombiniert sein. Eine Abzugspumpe 112 ist an der Rückseite der Reaktionskammer 110 installiert, um einen Innendruck der Reaktionskammer 110 zu steuern. Ein Drosselventil 114 ist zwi schen der Reaktionskammer 110 und der Abzugspumpe 112 installiert, um den Innendruck der Reaktionskammer 110 konstant zu halten.
  • In einer Quellengaszufuhrlinie ist die Zufuhrleitung 126a für das erste Trägergas mit der Zufuhrquelle 126 für das erste Trägergas verbunden, um das Trägergas zuzuführen. Die Quellengaszufuhrquelle 122 ist mit der Zufuhrleitung 126a für das erste Trägergas über ein erstes und ein zweites Dreiwegeventil 132 und 134 parallel verbunden. Ein Ein/Aus-Ventil, d.h. ein erstes Absperrventil 142, ist zwischen dem ersten und dem zweiten Dreiwegeventil 132 und 134 installiert. Eine Quellengaszufuhrleitung 122c an der Rückseite des zweiten Dreiwegeventils 134 ist mit der Spülgaszufuhrleitung 128a durch einen ersten und einen zweiten Einlass 150c und 150d eines Vierwegeventils 150 verbunden. In 5 ist das Vierwegeventil 150 funktionell dargestellt und beinhaltet den ersten und den zweiten Einlass 150c und 150d, durch die ein Gasmaterial einströmt, und einen ersten und zweiten Auslass 150a und 150b, durch die ein Gasmaterial ausströmt. Der zweite Auslass 150b des Vierwegeventils 150 ist mit der Reaktionskammer 110 verbunden, und der erste Auslass 150a des Vierwegeventils ist mit einem Bypass 116 verbunden. Ein anderes Ende des Bypasses 116 ist mit einer Abzugsleitung 113 zwischen dem Drosselventil 114 und der Abzugspumpe 112 verbunden. Ein fünftes Absperrventil 152 ist am Bypass 116 installiert. Entsprechend dem Ein/Aus-Zustand des ersten Absperrventils 142 wird der Reaktionskammer 110 oder dem Bypass 116 über das Vierwegeventil 150 das erste Trägergas oder das Quellengas zugeführt.
  • In einer Spülgaszufuhrlinie wird der Reaktionskammer 110 durch die Spülgaszufuhrleitung 128a das Spülgas von der Spülgaszufuhrquelle 128 zugeführt. Das Vierwegeventil 150 ist an einer Verbindungsstelle der Reinigungszufuhrleitung 128a und der Zufuhrleitung 126a für das erste Trägergas installiert. Ein zweites Absperrventil 144 ist zwischen der Spülgaszufuhrquelle 128 und dem Vierwegeventil 150 installiert.
  • In einer Zufuhrlinie für reaktives Gas wird der Reaktionskammer 110 das zweite Trägergas von der zweiten Trägergaszufuhrquelle 130 durch die Zufuhrleitung 130a für das zweite Trägergas zugeführt, und das reaktive Gas wird der Reaktionskammer 110 durch die Zufuhrleitung 124a für das reaktive Gas und die Zufuhrleitung 130a für das zweite Trägergas, mit der die Zufuhrleitung 124a für das reaktive Gas verbunden ist, von der Zufuhrquelle 124 für das reaktive Gas zugeführt. Ein drittes Absperrventil 146 ist zwischen der Reaktionskammer 110 und der Verbindungsstelle der Zufuhrleitung 124a für das reaktive Gas und der Zufuhrleitung 130a für das zweite Trägergas installiert. Ein viertes Absperrventil 148 ist zwischen der Übergangsstelle und der Zufuhrquelle 124 für das reaktive Gas installiert.
  • Der Aufbau des Vierwegeventils 150 und des fünften Absperrventils 152 sowie die Offen/Geschlossen-Zustände ihrer Einlässe und Auslässe wird unter Bezugnahme auf die 5 bis 8 beschrieben. 5 veranschaulicht funktionell die Einlässe und Auslässe des Vierwegeventils 150 und des fünften Absperrventils 152 entsprechend einer Strömungsrichtung des zugeführten Gases. Die 6 bis 8 veranschaulichen spezifisch das Vierwegeventil 150 und das fünfte Absperrventil 152.
  • Das Vierwegeventil 150 und das fünfte Absperrventil 152 sind in einer Ausführungsform der Erfindung Membranventile, die Erfindung ist jedoch nicht darauf beschränkt. Es wird ein Strom eines Gasmaterials entsprechend Ein/Aus-Zuständen des Vierwegeventils 150 und des fünften Absperrventils 152 beschrieben.
  • Wie in 7 dargestellt, ermöglicht das bei dem Bypass 116 installierte fünfte Absperrventil 152 nicht, dass der Bypass 116 das fünfte Absperrventil 152 direkt geradlinig durchquert, sondern beinhaltet eine erste und eine zweite vertikale Durchgangsöffnung 152h1 und 152h2 für eine ver tikale Verbindung mit dem Bypass 116 Seite an Seite. Die erste und die zweite Durchgangsöffnung 152h1 und 152h2 erstrecken sich zu einer Oberseite eines Körpers 152a. Eine Membran 152c, die durch Druck nach oben und nach unten bewegt wird, ist über der Oberseite des Körpers 152a, durch welche die erste und die zweite vertikale Durchgangsöffnung 152h1 und 152h2 münden, innerhalb eines Gehäuses 152b installiert, um einen vorgegebenen Zwischenraum zu definieren.
  • Wenn das fünfte Absperrventil 152 ausgeschaltet ist, das heißt wenn sich die Membran 152c nach unten bewegt und mit der Oberfläche des Körpers 152a einen engen Kontakt bildet, um die erste und die zweite vertikale Durchgangsöffnung 152h1 und 152h2 zu schließen, wie in 7 gezeigt, ist ein Strom eines Gasmaterials durch den Bypass 116 blockiert. Wenn das fünfte Absperrventil 152 eingeschaltet ist, das heißt wenn sich die Membran 152c nach oben bewegt und von der Oberfläche des Körpers 152a separiert ist, um die erste und die zweite vertikale Durchgangsöffnung 152h1 und 152h2 zu öffnen, kann ein Gasmaterial durch den Bypass 116 strömen. Das heißt, ein Gasmaterial, das durch den ersten Auslass 150a des Vierwegeventils 150 in den Bypass strömt, strömt durch die zweite vertikale Durchgangsöffnung 152h2 hinaus, durchquert einen Zwischenraum zwischen der Oberseite des Körpers 152a und der Membran 152c und strömt wiederum durch die erste vertikale Durchgangsöffnung 152h1 in den Bypass 116.
  • Wie in den 5, 6 und 8 gezeigt, beinhaltet das Vierwegeventil 150 eine erste horizontale Durchgangsöffnung 128b, die den zweiten Einlass 150d und den zweiten Auslass 150b verbindet, eine erste vertikale Durchgangsöffnung 150h1, die sich von der Mitte der ersten horizontalen Durchgangsöffnung 128b zu einer Oberseite eines Körpers 150e erstreckt, eine dritte vertikale Durchgangsöffnung 150h3, die mit dem ersten, mit der Quellengaszufuhrleitung 122c verbundenen Einlass 150c in Verbindung steht, eine zweite vertikale Durchgangsöffnung 150h2, die mit dem ersten, mit dem Bypass 116 verbundenen Auslass 150a in Verbindung steht, und eine zweite horizontale Durchgangsöffnung 151, die den oberen Bereich der zweiten vertikalen Durchgangsöffnung 150h2 und den oberen Bereich der dritten vertikalen Durchgangsöffnung 150h3 verbindet. Über dem Körper 150e des Vierwegeventils 150 ist ein Gehäuse 150f ausgebildet, um einen vorgegebenen Zwischenraum zu definieren. Eine Membran 150g, die nach oben und unten bewegt werden kann, ist innerhalb des durch das Gehäuse 150f definierten Zwischenraums installiert.
  • Wie in 8 dargestellt, wird, wenn das Vierwegeventil 150 ausgeschaltet ist, das heißt wenn sich die Membran 150g nach unten bewegt und mit der Oberseite des Körpers 150e einen engen Kontakt bildet, um die oberen Enden der ersten bis dritten vertikalen Durchgangsöffnung 150h1 bis 150h3 zu schließen, das Spülgas über die erste horizontale Durchgangsöffnung 128b der Reaktionskammer 110 zugeführt, und das Quellengas oder das erste Trägergas, das in die Quellengaszufuhrleitung 122c strömt, strömt über die dritte vertikale Durchgangsöffnung 150h3, die zweite horizontale Durchgangsöffnung 151 und die zweite vertikale Durchgangsöffnung 150h2 in den Bypass 116. Wenn das Vierwegeventil 150 eingeschaltet ist, das heißt wenn sich die Membran 150g nach oben bewegt und von der Oberseite des Körpers 150e separiert ist, um die oberen Enden der ersten bis dritten vertikalen Durchgangsöffnung 150h1 bis 150h3 zu öffnen, strömt das Spülgas über die erste horizontale Durchgangsöffnung 128b in die Reaktionskammer 110, und das Quellengas oder das erste Trägergas strömt durch die dritte vertikale Durchgangsöffnung 150h3, die zweite horizontale Durchgangsöffnung 151 oder einen Zwischenraum zwischen der Oberseite des Körpers 150a und der Membran 150g und die zweite vertikale Durchgangsöffnung 150h2 in den Bypass 116 und über die dritte vertikale Durchgangsöffnung 150h3, den Zwischenraum zwischen der Oberseite des Körpers 150a und der Membran 150g und die erste vertikale Durch gangsöffnung 150h1 in die Reaktionskammer 110. Wenn das fünfte Absperrventil 152 ausgeschaltet ist, strömt hierbei das erste Trägergas oder das reaktive Gas durch die dritte vertikale Durchgangsöffnung 150h3, den Zwischenraum zwischen der Oberseite des Körpers 150a und der Membran 150g und die erste vertikale Durchgangsöffnung 150h1 lediglich zur Reaktionskammer 110.
  • Eine Auswahl zwischen dem Quellengas und dem ersten Trägergas und eine Auswahl zwischen dem reaktiven Gas und dem zweiten Trägergas wird nachstehend in Verbindung mit der Beschreibung eines ALD-Prozesses beschrieben.
  • Das Folgende beschreibt detailliert einen Prozess zur Abscheidung eines Reaktionsprodukts des Quellengases und des reaktiven Gases auf einer Oberfläche eines Substrats unter Verwendung von ALD. Bei der Durchführung des ALD-Prozesses wird ein sequentieller Satz einer pulsierenden Quellengasstufe, einer Quellengasspülstufe, einer Pulsstufe des reaktiven Gases und einer Spülstufe des reaktiven Gases als ein Zyklus definiert, und die Zyklen werden wiederholt, bis eine dünne Schicht mit einer gewünschten Dicke gebildet ist.
  • In der pulsierenden Quellengasstufe wird der mit einem Wafer, d.h. dem Substrat (nicht gezeigt), bestückten Reaktionskammer 110 das Quellengas zugeführt, so dass ein Quellengasmaterial an der Oberfläche des Substrats angebracht wird. Hierbei ist das erste Absperrventil 142 ausgeschaltet, um geschlossen zu sein, ein erster Auslass 132a des ersten Dreiwegeventils 132 ist offen, und ein erster Einlass 134a und ein Auslass 134b des zweiten Dreiwegeventils 134 sind offen, so dass das erste Trägergas und das Quellengas gleichzeitig zugeführt werden. Außerdem ist das an dem Bypass 116 installierte fünfte Absperrventil 152 ausgeschaltet, während das Vierwegeventil 150 eingeschaltet ist, so dass ein Gasstrom zu dem Bypass 116 blockiert ist und ein Gasstrom in die Re aktionskammer 110 eingeleitet wird. Als Ergebnis wird der Reaktionskammer 110 das Quellengas zusammen mit dem ersten Trägergas zugeführt. In einer Ausführungsform wird der Reaktionskammer 110 zur gleichen Zeit das Spülgas kontinuierlich zugeführt. Das zweite Trägergas kann der Reaktionskammer 110 durch Schließen des vierten Absperrventils 148 und Öffnen des dritten Absperrventils 146 zugeführt werden.
  • Nachfolgend werden in der Quellengasspülstufe Quellengasrückstände, die nicht an der Oberfläche des Substrats anhaften, aus der Reaktionskammer 110 entfernt. In dieser Spülstufe ist das erste Absperrventil 142 offen; der erste Auslass 132a des ersten Dreiwegeventils 132 ist geschlossen, während ein zweiter Auslass 132b des ersten Dreiwegeventils 132 offen ist; und der erste Einlass 134a des zweiten Dreiwegeventils 134 ist geschlossen, während der Auslass 134b des zweiten Dreiwegeventils 134 offen ist, so dass die Zufuhr des reaktiven Gases unterbrochen ist und ermöglicht wird, dass das erste Trägergas strömt. Außerdem ist das bei dem Bypass 116 installierte fünfte Absperrventil 152 eingeschaltet, während das Vierwegeventil 150 ausgeschaltet ist, so dass das erste Trägergas durch den Bypass 116 abgeführt wird. Entsprechend strömt das Quellengas, das zwischen dem zweiten Dreiwegeventil 134 und dem Vierwegeventil 150 und zwischen dem Vierwegeventil 150 und dem fünften Absperrventil 152 verblieben ist, nicht in die Reaktionskammer 110, sondern wird zusammen mit dem ersten Trägergas durch den Bypass 116 abgeführt. Das Quellengas, das in der Reaktionskammer verblieben ist, ohne abgeschieden zu werden, wird durch das Spülgas ausgetrieben, das der Reaktionskammer 110 kontinuierlich zugeführt wird. Hierbei kann das zweite Trägergas der Reaktionskammer 110 kontinuierlich in einem Zustand zugeführt werden, in dem das vierte Absperrventil 148 geschlossen ist.
  • Nachfolgend wird der Reaktionskammer 110 in der pulsierenden Stufe des reaktiven Gases das reaktive Gas in einem Zustand zugeführt, in dem das Quellengas auf der Oberfläche des Substrats aufgebracht wurde, so dass das Quellengas mit einem Teil des reaktiven Gases reagiert, wodurch ein Reaktionsprodukt auf der Oberfläche des Substrats gebildet wird. Hierbei wird in ähnlicher Weise wie bei der Quellengasspülstufe das erste Trägergas durch den Bypass 116 abgeführt, und das Spülgas wird der Reaktionskammer 110 kontinuierlich zugeführt. In der pulsierenden Stufe des reaktiven Gases sind jedoch das bei der Zufuhrleitung für das reaktive Gas installierte vierte Absperrventil 148 und das dritte Absperrventil 146 offen, so dass der Reaktionskammer 110 das reaktive Gas zusammen mit dem zweiten Trägergas zugeführt wird.
  • Nachfolgend werden in der Spülstufe des reaktiven Gases die Rückstände des reaktiven Gases, soweit sie nicht Reaktionsprodukt des Quellengases und des reaktiven Gases sind, das auf der Oberfläche des Substrats abgeschieden wurde, aus der Reaktionskammer 110 entfernt. Hierbei wird in ähnlicher Weise wie bei der Quellengasspülstufe das erste Trägergas durch den Bypass 116 abgeführt, und das Spülgas wird der Reaktionskammer 110 kontinuierlich zugeführt. In der Spülstufe des reaktiven Gases ist jedoch das vierte Absperrventil 148 bei der Zufuhrleitung für das reaktive Gas geschlossen, um die Zufuhr des reaktiven Gases zu unterbrechen. Als Ergebnis wird der Reaktionskammer 110 lediglich das zweite Trägergas zugeführt.
  • Wie vorstehend beschrieben, wird, wenn ein Zyklus der pulsierenden Quellengasstufe, der Quellengasspülstufe, der Pulsstufe des reaktiven Gases und der Spülstufe des reaktiven Gases durchgeführt wird, das Reaktionsprodukt des Quellengases und des reaktiven Gases sehr dünn auf der Oberfläche des Substrats aufgebracht. Es können mehrere oder mehrere tausend Zyklen durchgeführt werden, um eine gewünschte dünne Schicht auf der Oberfläche des Substrats aufzubringen. In der vorstehend beschriebenen Ausführungsform der vorliegenden Erfindung wird eine einzelne Schicht durch den ALD-Prozess unter Verwendung eines einzigen Quellengases auf dem Substrat gebildet. Wenn zum Beispiel das Quellengas, das reaktive Gas und das Reaktionsprodukt des Quellengases und des reaktiven Gases durch "A", "B" beziehungsweise "AB" repräsentiert werden, kann eine auf dem Substrat gemäß der ersten Ausführungsform der vorliegenden Erfindung aufgebrachte Schicht durch "AB/AB/AB/AB...AB/AB" repräsentiert werden.
  • Die 9 bis 11 veranschaulichen eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer zweiten Ausführungsform der Erfindung. Die zweite Ausführungsform ist die gleiche wie die erste Ausführungsform, mit der Ausnahme, dass sich der Aufbau des Vierwegeventils 154 und die Anordnung der Spülgaszufuhrlinie und der Quellengaszufuhrlinie von jenen der ersten Ausführungsform unterscheiden. Die Unterschiede werden nachstehend beschrieben.
  • Bezugnehmend auf die 9 bis 11 sind die Quellengaszufuhrlinie, die Spülgaszufuhrlinie und die Zufuhrleitung für das reaktive Gas in der zweiten Ausführungsform im Wesentlichen gleich jenen in der ersten Ausführungsform, der Aufbau und die Verbindungsbeziehung des Vierwegeventils 154 ist jedoch anders. Im Detail beinhaltet das Vierwegeventil 150 in der ersten Ausführungsform die erste horizontale Durchgangsöffnung 128b, die für eine Verbindung mit der Spülgaszufuhrleitung 128a geradlinig ausgebildet ist. Im Gegensatz dazu beinhaltet das Vierwegeventil 154 in der zweiten Ausführungsform eine zweite horizontale Durchgangsöffnung 154f, die mit einem zweiten Einlass 154d des Vierwegeventils 154 in Verbindung steht und innerhalb des Vierwegeventils 154 rechtwinklig abbiegt. Eine zweite vertikale Durchgangsöffnung 154h2 ist ausgebildet, die sich von der Mitte der zweiten horizontalen Durchgangsöffnung 154f zu einer Oberseite eines Körpers 154g des Vierwegeventils 154 erstreckt. Eine erste horizontale Durchgangsöff nung 154e, die mit einem ersten, mit der Quellengaszufuhrleitung 122c verbundenen Einlass 154c in Verbindung steht, ist so ausgebildet, dass sie innerhalb des Vierwegeventils 154 rechtwinklig abbiegt. Eine erste vertikale Durchgangsöffnung 154h1 ist ausgebildet, die sich von der Mitte der ersten horizontalen Durchgangsöffnung 154e zu der Oberseite des Körpers 154g erstreckt.
  • Wie in 11 dargestellt, wird, wenn das Vierwegeventil 154 ausgeschaltet ist, das heißt wenn sich eine Membran 154i nach unten bewegt und einen engen Kontakt mit der Oberseite des Körpers 154g bildet, um die oberen Enden der ersten und der zweiten vertikalen Durchgangsöffnung 154h1 und 154h2 zu schließen, der Reaktionskammer 110 das Spülgas durch die zweite horizontale Durchgangsöffnung 154f zugeführt, und das Quellengas oder das erste Trägergas, das in der Quellengaszufuhrleitung 122c strömt, strömt durch die erste horizontale Durchgangsöffnung 154e in den Bypass 116. Wenn das Vierwegeventil 154 eingeschaltet wird, das heißt wenn sich die Membran 154i nach oben bewegt und von der Oberseite des Körpers 154g separiert wird, um die oberen Enden der ersten und der zweiten vertikalen Durchgangsöffnung 154h1 und 154h2 zu öffnen, strömt das Spülgas durch die zweite horizontale Durchgangsöffnung 154f in die Reaktionskammer 110 oder durch die zweite horizontale Durchgangsöffnung 154f, die zweite vertikale Durchgangsöffnung 154h2, einen Zwischenraum zwischen der Oberseite des Körpers 154g und der Membran 154i sowie die erste vertikale Durchgangsöffnung 154h1 in den Bypass 116. Wenn das fünfte Absperrventil 152 ausgeschaltet ist, strömt das Spülgas lediglich in die Reaktionskammer 110. Unterdessen strömt das Quellengas oder das erste Trägergas, das in der Quellengaszufuhrleitung 122c strömt, durch die erste vertikale Durchgangsöffnung 154h1, den Zwischenraum zwischen der Oberfläche des Körpers 154g und der Membran 154i und die zweite vertikale Durchgangsöffnung 154h2 in die Reaktionskammer 110 oder durch die erste horizontale Durchgangsöffnung 154c in den Bypass 116.
  • Wenn das fünfte Absperrventil 152 ausgeschaltet ist, strömt hierbei das erste Trägergas oder das Quellengas durch die erste vertikale Durchgangsöffnung 154h1, den Zwischenraum zwischen der Oberfläche des Körpers 154g und der Membran 154i und die zweite vertikale Durchgangsöffnung 154h2 lediglich in die Reaktionskammer 110.
  • Das Folgende beschreibt detailliert den geschlossenen und den offenen Zustand jedes Ventils in jeder Stufe eines Prozesses zur Abscheidung eines Reaktionsprodukts des Quellengases und des reaktiven Gases auf einer Oberfläche eines Substrats unter Verwendung von ALD.
  • In der pulsierenden Quellengasstufe ist das erste Absperrventil 142 ausgeschaltet, um geschlossen zu sein, ein erster Auslass 132a des ersten Dreiwegeventils 132 ist offen, und ein erster Einlass 134a und ein Auslass 134b des zweiten Dreiwegeventils 134 sind offen, so dass das erste Trägergas und das Quellengas gleichzeitig zugeführt werden. Außerdem ist das bei dem Bypass 116 installierte fünfte Absperrventil 152 ausgeschaltet und geschlossen, während das Vierwegeventil 154 eingeschaltet ist, so dass ein Gasstrom zu dem Bypass 116 blockiert ist und ein Gasstrom in die Reaktionskammer 110 eingeleitet wird. Als Ergebnis wird der Reaktionskammer 110 das Quellengas zusammen mit dem ersten Trägergas zugeführt. Unterdessen wird der Reaktionskammer 110 das Spülgas kontinuierlich zugeführt. Das zweite Trägergas kann der Reaktionskammer 110 durch Schließen des vierten Absperrventils 148 und Öffnen des dritten Absperrventils 146 zugeführt werden.
  • Nachfolgend ist das erste Absperrventil 142 in der Quellengasspülstufe offen; der erste Auslass 132a des ersten Dreiwegeventils 132 ist geschlossen, während ein zweiter Auslass 132b des ersten Dreiwegeventils 132 offen ist; und der erste Einlass 134a des zweiten Dreiwegeventils 134 ist geschlossen, während der Auslass 134b des zweiten Dreiwegeventils 134 offen ist, so dass die Zufuhr des reaktiven Gases unter brochen ist und ein Strömen des ersten Trägergases ermöglicht wird. Außerdem ist das bei dem Bypass 116 installierte fünfte Absperrventil 152 eingeschaltet, während das Vierwegeventil 154 ausgeschaltet ist, so dass das erste Trägergas durch den Bypass 116 abgeführt wird. Entsprechend strömt das Quellengas, das zwischen dem zweiten Dreiwegeventil 134 und dem Vierwegeventil 154 sowie zwischen dem Vierwegeventil 154 und dem fünften Absperrventil 152 verblieben ist, nicht in die Reaktionskammer 110, sondern wird durch den Bypass 116 zusammen mit dem ersten Trägergas abgeführt. Das Quellengas, das in der Reaktionskammer 110 verblieben ist, ohne abgeschieden zu werden, wird durch das Spülgas ausgetrieben, das der Reaktionskammer 110 kontinuierlich zugeführt wird. Hierbei kann das zweite Trägergas der Reaktionskammer 110 in einem Zustand, in dem das vierte Absperrventil 148 geschlossen ist, kontinuierlich zugeführt werden.
  • In den Puls- und Spülstufen des reaktiven Gases wird in ähnlicher Weise wie bei der Quellengasspülstufe das erste Trägergas durch den Bypass 116 abgeführt, und das Spülgas wird der Reaktionskammer 110 kontinuierlich zugeführt. In den Puls- und der Spülstufen des reaktiven Gases sind jedoch das bei der Zufuhrleitung für das reaktive Gas installierte vierte Absperrventil 148 und das dritte Absperrventil 146 offen, so dass der Reaktionskammer 110 das reaktive Gas zusammen mit dem zweiten Trägergas zugeführt wird.
  • 12 veranschaulicht eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer dritten Ausführungsform der Erfindung. Die dritte Ausführungsform ist der in den 5 bis 8 dargestellten ersten Ausführungsform im Wesentlichen ähnlich, bezieht sich jedoch auf einen Prozess zur Bildung einer Mehrfach- oder komplexen Schicht auf einem Substrat durch ALD unter Verwendung verschiedener Arten von Quellengas. Der Unterschied zwischen der ersten und der dritten Ausführungsform wird nachstehend beschrieben.
  • Bezugnehmend auf 12 ist jede der zwei Quellengaszufuhrquellen 122 und 222 zusammen mit der ersten Trägergaszufuhrlinie parallel mit der Quellengaszufuhrleitung 122c installiert, und die zwei Quellengaszufuhrquellen 122 und 222 sind symmetrisch angeordnet. Die in 12 dargestellte Vorrichtung ist derart ausgelegt, dass eines von einem ersten Quellengas und einem zweiten Quellengas unter Verwendung einer Mehrzahl von Zweiwegeventilen und Dreiwegeventilen selektiv zugeführt wird. In der dritten Ausführungsform werden zwei Arten von Quellengas zugeführt, es können jedoch auch mehr als zwei Arten von Quellengas selektiv zugeführt werden, indem mehr als zwei Quellengaszufuhrlinien parallel zu der Quellengaszufuhrleitung 122c angeordnet werden.
  • In einer ersten Quellengaszufuhrlinie, die bei der ersten Quellengaszufuhrquelle 122 beginnt und das erste Quellengas zuführt, wird das Trägergas von der ersten Trägergaszufuhrquelle 126 durch die erste Trägergaszufuhrleitung 126a zugeführt, mit der die erste Quellengaszufuhrquelle 122 durch ein erstes bis viertes Dreiwegeventil 132, 134, 136 und 138 parallel verbunden ist. Ein Ein/Aus-Ventil, d.h. das erste Zweiwege-Absperrventil 142, ist bei der ersten Trägergaszufuhrleitung 126a zwischen dem ersten und dem zweiten Dreiwegeventil 132 und 134 installiert. Ein Ein/Aus-Ventil, d.h. ein zweites Zweiwege-Absperrventil 242, ist zwischen dem dritten und dem vierten Dreiwegeventil 136 und 138 installiert. Die erste Quellengaszufuhrquelle 122 ist zwischen dem dritten und dem vierten Dreiwegeventil 136 und 138 parallel zu dem zweiten Zweiwege-Absperrventil 242 installiert.
  • In einer zweiten Quellengaszufuhrlinie, die bei der zweiten Quellengaszufuhrquelle 222 beginnt und das zweite Quellengas zuführt, wird das Trägergas von der ersten Trägergaszufuhrquelle 126 durch die erste Trägergaszufuhrleitung 126a zugeführt, mit der die zweite Quellengaszufuhrquelle 222 durch ein fünftes bis achtes Dreiwegeventil 232, 234, 236 und 238 parallel verbunden ist. Im Detail ist das fünfte Dreiwegeventil 232 zwischen der ersten Trägergaszufuhrquelle 126 und dem ersten Dreiwegeventil 132 angeordnet. Das sechste Dreiwegeventil 234 ist zwischen dem zweiten Dreiwegeventil 134 und dem Vierwegeventil 150 angeordnet. Das siebte Dreiwegeventil 236 und das achte Dreiwegeventil 238 sind bei der zweiten Quellengaszufuhrlinie installiert, die parallel zu der ersten Trägergaszufuhrleitung 126a ist. Ein Ein/Aus-Ventil, d.h. ein drittes Zweiwege-Absperrventil 442, ist zwischen dem siebten und dem achten Dreiwegeventil 236 und 238 installiert. Die zweite Quellengaszufuhrquelle 222 ist zwischen dem siebten und dem achten Dreiwegeventil 236 und 238 parallel zu dem dritten Zweiwege-Absperrventil 442 installiert.
  • In der in 12 dargestellten dritten Ausführungsform wird ein einzelner Zyklus der Quellengaspulsstufe, der Quellengasspülstufe, der pulsierenden Stufe des reaktiven Gases und der Spülstufe des reaktiven Gases unter Verwendung des ersten Quellengases durchgeführt, wodurch ein dünnes Reaktionsprodukt des ersten Quellengases und des reaktiven Gases auf einer Oberfläche eines Substrats abgeschieden wird. Danach wird der Zyklus unter Verwendung des zweiten Quellengases wiederholt, wodurch ein Reaktionsprodukt des zweiten Quellengases und des reaktiven Gases auf dem Reaktionsprodukt des ersten Quellengases und des reaktiven Gases abgeschieden wird. Mehrere bis mehrere tausend Zyklen werden durchgeführt, während das erste und das zweite Quellengas alternierend zugeführt werden, um eine komplexe Schicht auf der Oberfläche des Substrats zu bilden. Wenn zum Beispiel das erste Quellengas, das zweite Quellengas, das reaktive Gas, das Reaktionsprodukt des ersten Quellengases und des reaktiven Gases sowie das Reaktionsprodukt des zweiten Quellengases und des reaktiven Gases durch "A1", "A2", "B", "A1B" beziehungsweise "A2B" repräsentiert werden, kann eine gemäß der dritten Ausführungsform der vorliegenden Erfindung auf dem Substrat aufgebrachte Schicht durch "A1B/A2B/A1B/A2B...A1B/A2B" repräsentiert werden.
  • Das Folgende beschreibt detailliert einen Prozess zum Abscheiden eines Reaktionsprodukts des Quellengases und des reaktiven Gases auf einer Oberfläche eines Substrats durch ALD unter Verwendung des ersten und des zweiten Quellengases A1 und A2 sowie des reaktiven Gases B. Bei der Durchführung des ALD-Prozesses ist ein sequentieller Satz einer Quellengaspulsstufe, einer Quellengasspülstufe, einer pulsierenden Stufe des reaktiven Gases und einer Spülstufe des reaktiven Gases als ein Zyklus definiert, und die Zyklen werden wiederholt, bis eine dünne Schicht mit einer gewünschten Dicke gebildet ist.
  • In einer ersten Quellengaspulsstufe wird der mit einem Wafer, d.h. dem Substrat (nicht gezeigt), bestückten Reaktionskammer das erste Quellengas A1 zugeführt, so dass ein erstes Quellengasmaterial an der Oberfläche des Substrats angebracht wird. Hierbei sind ein zweiter Auslass 232b des fünften Dreiwegeventils 232 und ein Auslass 234b des sechsten Dreiwegeventils 234 offen; das erste Zweiwege-Absperrventil 142 ist ausgeschaltet und geschlossen; der erste Auslass 132a des ersten Dreiwegeventils 132 ist offen; der erste Einlass 134a und der Auslass 134b des zweiten Dreiwegeventils 134 sind offen, während ein erster Auslass 136a des dritten Dreiwegeventils 136 und ein Einlass 138a des vierten Dreiwegeventils 138 offen sind; und das zweite Zweiwege-Absperrventil 242 ist ausgeschaltet, so dass das erste Trägergas und das erste Quellengas A1 zur gleichen Zeit zugeführt werden. Außerdem ist das bei dem Bypass 116 installierte fünfte Absperrventil 152 ausgeschaltet, während das Vierwegeventil 150 eingeschaltet ist, so dass ein Gasstrom zu dem Bypass 116 blockiert ist und ein Gasstrom in die Reaktionskammer 110 eingeleitet wird. Als Ergebnis wird der Reaktionskammer 110 das erste Quellengas A1 von der ersten Quellengaszufuhrquelle 122 zusammen mit dem ersten Trägergas zugeführt.
  • Nachfolgend werden in der ersten Quellengasspülstufe Quellengasrückstände, die nicht an der Oberfläche des Substrats angebracht sind, aus der Reaktionskammer 110 entfernt. Hierbei sind der zweite Auslass 232b des fünften Dreiwegeventils 232 und der Auslass 234b des sechsten Dreiwegeventils 234 offen; das erste Zweiwege-Absperrventil 142 ist eingeschaltet und offen; der erste Auslass 132a des ersten Dreiwegeventils 132 ist geschlossen, während der zweite Auslass 132b des ersten Dreiwegeventils 132 offen ist; und der erste Einlass 134a des zweiten Dreiwegeventils 134 ist geschlossen, während der Auslass 134b offen ist, so dass die Zufuhr des ersten Quellengases A1 unterbrochen ist und das erste Trägergas strömen kann. Außerdem ist das bei dem Bypass 116 installierte fünfte Absperrventil 152 eingeschaltet, während das Vierwegeventil 150 ausgeschaltet ist, so dass das erste Trägergas durch den Bypass 116 abgeführt wird. Als Ergebnis strömt das erste Quellengas A1, das zwischen dem zweiten Dreiwegeventil 134 und dem Vierwegeventil 150 sowie zwischen dem Vierwegeventil 150 und dem fünften Absperrventil 152 bei dem Bypass 116 verblieben ist, nicht in die Reaktionskammer 110, sondern wird zusammen mit dem ersten Trägergas durch den Bypass 116 abgeführt. Das erste Quellengas A1, das in der Reaktionskammer 110 verblieben ist, ohne abgeschieden zu werden, wird durch das Spülgas ausgetrieben, das der Reaktionskammer 110 kontinuierlich von der Spülgaszufuhrquelle 128 zugeführt wird.
  • Nachfolgend wird der Reaktionskammer 110 in der pulsierenden Stufe des reaktiven Gases das reaktive Gas B in einem Zustand zugeführt, in dem das erste Quellengas A1 auf der Oberfläche des Substrats aufgebracht wurde, so dass das erste Quellengas A1 mit einem Teil des reaktiven Gases B reagiert, wodurch das Reaktionsprodukt A1B auf der Oberfläche des Substrats gebildet wird. Hierbei wird in ähnlicher Weise wie bei der ersten Quellengasspülstufe das erste Trägergas durch den Bypass 116 abgeführt, und das Spülgas wird der Reaktionskammer 110 kontinuierlich zugeführt. In der pulsierenden Stufe des reaktiven Gases sind jedoch das bei der Zufuhrlinie für das reaktive Gas installierte vierte Absperrventil 148 und das dritte Absperrventil 146 offen, so dass der Reaktionskammer 110 das reaktive Gas B zusammen mit dem zweiten Trägergas zugeführt wird, das von der zweiten Trägergaszufuhrquelle 130 geliefert wird.
  • Nachfolgend werden in der Spülstufe des reaktiven Gases die Rückstände des reaktiven Gases B mit Ausnahme des auf der Oberfläche des Substrats aufgebrachten Reaktionsproduktes A1B des ersten Quellengases A1 und des reaktiven Gases B aus der Reaktionskammer 110 entfernt. Hierbei wird in ähnlicher Weise wie bei der ersten Quellengasspülstufe das erste Trägergas durch den Bypass 116 abgeführt, und das Spülgas wird der Reaktionskammer 110 kontinuierlich zugeführt. In der Spülstufe des reaktiven Gases ist jedoch das vierte Absperrventil 148 bei der Zufuhrlinie für das reaktive Gas geschlossen, um die Zufuhr des reaktiven Gases zu unterbrechen. Als Ergebnis wird der Reaktionskammer 110 lediglich das zweite Trägergas zugeführt.
  • In einer zweiten Quellengaspulsstufe wird der Reaktionskammer das zweite Quellengas A2 zugeführt, so dass ein zweites Quellengasmaterial an der Oberfläche des Substrats angebracht wird, auf der das Reaktionsprodukt A1B gebildet wurde. Hierbei sind der erste Auslass 132a des ersten Dreiwegeventils 132 und das erste Absperrventil 142 geschlossen; ein erster Auslass 232a des fünften Dreiwegeventils 232 ist offen; und ein erster Auslass 236a des siebten Dreiwegeventils 236 ist offen. In diesem Zustand werden der Reaktionskammer 110 das erste Trägergas und das zweite Quellengas A2 gleichzeitig durch das achte Dreiwegeventil 238 und das sechste Dreiwegeventil 234 und durch analoge Offen/Geschlossen-Zustände von Ventilen wie jenen in der ersten Quellengaspulsstufe zugeführt.
  • Eine zweite Quellengasspülstufe, die pulsierende Stufe des reaktiven Gases und die Spülstufe des reaktiven Gases werden in der gleichen Weise durchgeführt, wie vorstehend unter Bezugnahme auf das erste Quellengas beschrieben, wodurch das Reaktionsprodukt A2B auf dem Reaktionsprodukt A1B gebildet wird. Auf diese Weise wird ALD in der Reihenfolge A1B, A2B, A1B, A2B... durchgeführt, wenn der Zyklus wiederholt wird, während das erste und das zweite Quellengas A1 und A2 alternierend zugeführt werden.
  • 13 stellt eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer vierten Ausführungsform der Erfindung dar. In der in 12 dargestellten dritten Ausführungsform werden der Reaktionskammer 110 durch die eine Quellengaszufuhrleitung 122c und das eine Vierwegeventil 150 verschiedene Arten von Quellengasen zugeführt. In der in 13 dargestellten vierten Ausführungsform werden, während die eine Spülgaszufuhrleitung 128a zum Zuführen unterschiedlicher Arten von Quellengasen benutzt werden, der Reaktionskammer 110 die verschiedenen Arten von Quellengasen separat durch die erste und die zweite Quellengasleitung 122c und 222c und das erste und das zweite Vierwegeventil 150 und 250 zugeführt.
  • In einer ersten Quellengaszufuhrlinie wird das Trägergas von der ersten Trägergaszufuhrquelle 126 durch die erste Trägergaszufuhrleitung 126a zugeführt, mit der die erste Quellengaszufuhrquelle 122 durch das erste bis vierte Dreiwegeventil 132, 134, 136 und 138 parallel verbunden ist. Ein Ein/Aus-Ventil, d.h. das erste Zweiwege-Absperrventil 142, ist bei der ersten Trägergaszufuhrleitung 126a zwischen dem ersten und dem zweiten Dreiwegeventil 132 und 134 installiert. Ein Ein/Aus-Ventil, d.h. ein zweites Zweiwege-Absperrventil 143, ist zwischen dem dritten und dem vierten Dreiwegeventil 136 und 138 installiert. Die erste Quellengaszufuhrquelle 122 ist zwischen dem dritten und dem vierten Dreiwegeventil 136 und 138 parallel zu dem zweiten Zweiwege-Absperrventil 143 installiert. Ein erstes Quellengas von der ersten Quellengaszufuhrquelle 122 ist mit dem ersten Vierwegeventil 150 verbunden.
  • In einer zweiten Quellengaszufuhrlinie wird ein Trägergas von einer zweiten Trägergaszufuhrquelle 226 durch eine zweite Trägergaszufuhrleitung 226a zugeführt, mit der die zweite Quellengaszufuhrquelle 222 durch das fünfte bis achte Dreiwegeventil 232, 234, 236 und 238 parallel verbunden ist. Ein Ein/Aus-Ventil, d.h. das dritte Zweiwege-Absperrventil 242, ist bei der zweiten Trägergaszufuhrleitung 226a zwischen dem fünften und dem sechsten Dreiwegeventil 232 und 234 installiert. Ein Ein/Aus-Ventil, d.h. ein viertes Zweiwege-Absperrventil 243, ist zwischen dem siebten und dem achten Dreiwegeventil 236 und 238 installiert. Die zweite Quellengaszufuhrquelle 222 ist zwischen dem siebten und dem achten Dreiwegeventil 236 und 238 parallel zu dem vierten Zweiwege-Absperrventil 243 installiert. Ein zweites Quellengas von der zweiten Quellengaszufuhrquelle 222 ist mit dem zweiten Vierwegeventil 250 verbunden. Das erste und das zweite Vierwegeventil arbeitet gemäß dem gleichen Prinzip, das in der ersten Ausführungsform verwendet wird.
  • In einer Spülgaszufuhrlinie wird der Reaktionskammer 110 das Spülgas von der Spülgaszufuhrquelle 128 durch die Spülgaszufuhrleitung 128a zugeführt. Das erste Vierwegeventil 150 ist an einer Verbindungsstelle der Spülgaszufuhrleitung 128a und der ersten Quellengaszufuhrleitung 122c installiert. Das zweite Vierwegeventil 250 ist an einer Übergangsstelle der Spülgaszufuhrleitung 128a und der zweiten Quellengaszufuhrleitung 222c installiert. Das zweite Absperrventil 144 ist zwischen der Spülgaszufuhrquelle 128 und dem zweiten Vierwegeventil 250 installiert. Außerdem sind die Absperrventile 152 und 252 bei dem Bypass 116 installiert, der mit dem ersten und dem zweiten Vierwegeventil 150 und 250 verbunden ist. Eine Zufuhrleitung für das reaktive Gas in der vierten Ausführungsform ist die gleiche wie jene in den vorstehend beschriebenen Ausführungsformen.
  • Das Folgende beschreibt detailliert einen Prozess zum Aufbringen eines Reaktionsprodukts des Quellengases und des reaktiven Gases auf einer Oberfläche eines Substrats durch ALD unter Verwendung des ersten und des zweiten Quellengases A1 und A2 sowie des reaktiven Gases B gemäß der vierten Ausführungsform der vorliegenden Erfindung.
  • In einer ersten Quellengaspulsstufe wird ein erstes Quellengas A1 durch die Quellengaszufuhrleitung 122c in der gleichen Weise zugeführt, wie jener in der dritten Ausführungsform in einem Zustand verwendeten, in dem ein Einlass 250c des zweiten Vierwegeventils 150 ausgeschaltet ist.
  • Danach werden eine erste Quellengasspülstufe, eine pulsierende Stufe des reaktiven Gases und eine Spülstufe des reaktiven Gases in der gleichen Weise durchgeführt, wie jener in der dritten Ausführungsform verwendeten, wodurch ein Reaktionsprodukt A1B auf der Oberfläche des Substrats gebildet wird.
  • Die erste Quellengasleitung ist blockiert und eine zweite Quellengasleitung ist offen, um eine zweite Quellengaspulsstufe durchzuführen. Hierbei ist der Einlass 150c des ersten Vierwegeventils 150 geschlossen und der Einlass 250c des zweiten Vierwegeventils 250 ist offen.
  • Nachfolgend werden ein zweite Quellengasspülstufe, die pulsierende Stufe des reaktiven Gases und die Spülstufe des reaktiven Gases in der gleichen Weise durchgeführt, wie sie bezüglich des ersten Quellengases A1 durchgeführt wurden, wodurch ALD in der Reihenfolge A1B, A2B, A1B, A2B,... durchgeführt wird.
  • 14 stellt eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer fünften Ausführungsform der Erfindung dar. Ein Be triebsprinzip des Vierwegeventils 154 in der fünften Ausführungsform ist das gleiche wie jenes in der zweiten Ausführungsform. Außerdem ist die fünfte Ausführungsform die gleiche wie die dritte Ausführungsform dahingehend, dass verschiedene Arten von Quellengasen durch die einzelne Quellengaszufuhrleitung 122c selektiv zugeführt werden. Somit wird eine detaillierte Beschreibung der fünften Ausführungsform nicht wiederholt.
  • 15 stellt eine Vorrichtung zum Herstellen eines Halbleiterbauelements gemäß einer sechsten Ausführungsform der Erfindung dar. Ein Betriebsprinzip des ersten und des zweiten Vierwegeventils 154 und 254 in der sechsten Ausführungsform ist das gleiche wie jenes in der zweiten Ausführungsform. Außerdem ist die sechste Ausführungsform die gleiche wie die vierte Ausführungsform dahingehend, dass verschiedene Arten von Quellengasen durch jeweils verschiedene Quellengaszufuhrleitungen selektiv zugeführt werden. Somit wird eine detaillierte Beschreibung der sechsten Ausführungsform nicht wiederholt.
  • In der dritten bis sechsten Ausführungsform der Erfindung, wie vorstehend beschrieben, wird eine komplexe Schicht auf einem Substrat mittels ALD unter Verwendung von zwei Arten von Quellengasen gebildet. Es können jedoch bei Bedarf mehr als zwei Arten von Quellengasen selektiv zugeführt werden. Außerdem können wenigstens zwei Arten von reaktiven Gasen selektiv verwendet werden. Hierbei kann zur Erhöhung der Spüleffizienz eine Zufuhrlinie für reaktives Gas das gleiche Gasventilsystem wie eine Quellengaszufuhrlinie verwenden.
  • Überdies wechselt in der dritten bis sechsten Ausführungsform der Erfindung gemäß der vorstehenden Beschreibung ein ALD-Zyklus, der ein erstes Quellengas verwendet, mit einem ALD-Zyklus ab, der ein zweites Quellengas verwendet. Es kann jedoch eine Mehrzahl von ALD-Zyklen unter Verwendung des ersten Quellengases durchgeführt werden, um eine erste dünne Schicht mit einer vorgegebenen Dicke zu bilden, und dann kann eine Mehrzahl von ALD-Zyklen unter Verwendung des zweiten Quellengases durchgeführt werden, um eine zweite dünne Schicht mit einer vorgegebenen Dicke auf der ersten dünnen Schicht zu bilden.
  • Wenn zum Beispiel ein erstes Quellengas, ein zweites Quellengas, ein erstes reaktives Gas, ein zweites reaktives Gas, ein Reaktionsprodukt des ersten Quellengases und des ersten reaktiven Gases und ein Reaktionsprodukt des zweiten Quellengases und des zweiten reaktiven Gases durch "A1", "A2", "B1", "B2", "A1B1" beziehungsweise "A2B2" repräsentiert werden, kann eine gemäß Ausführungsformen der vorliegenden Erfindung auf einem Substrat aufgebrachte Schicht verschiedene Strukturen aufweisen, die durch "A1B1/A2B2/A1B1/A2B2...A1B1/A2B2", "A1B1/A1B2/A1B1/A1B2...A1B1/A1B2", "A1B1/A1B1.../A1B1/A2B2/A2B2...A2B2" etc. entsprechend einer Kombination eines Quellengases und eines reaktiven Gases repräsentiert werden.
  • Verschiedene Arten von Quellengasen werden unter Verwendung der zwei Vierwegeventile 150 und 250 in der vierten Ausführungsform der vorliegenden Erfindung und der zwei Vierwegeventile 154 und 254 in der sechsten Ausführungsform der vorliegenden Erfindung zugeführt. Hierbei kann ein anhaftenderes Quellengas von dem ersten und dem zweiten Quellengas durch eine Quellengaszufuhrleitung näher bei der Reaktionskammer 110 zugeführt werden. Im Allgemeinen ist ZrO2 anhaftender als HfO2 und HfO2 ist anhaftender als Al2O3.
  • Um zu prüfen, ob das Totvolumen aus einem Ventilsystem gemäß der vorliegenden Erfindung eliminiert ist, wurden HfO-Schichten und AlO-Schichten unter Verwendung von ALD gemäß der ersten Ausführungsform gebildet. Tabelle 1 zeigt die Charakteristika der Schichten. Tabelle 1
    Schaltinformation (27MHz) Anzahl von Zyklen Dicke (nm) Gleichmäßigkeit (%) Depositionsrate (nm/Zyklus) Maximum/Minimum
    HfO (1)0,3/0,5/0,2/1,0/0,2 100 9,133 1,48 0,091 92,88/90,19
    (2)(0,3/0,5/0,2/1,0/0,2) + 1,0/0,5/0,2/1,0/0,2 100 8,866 1,29 0,089 89,97/87,68
    AlO (3)0,1/0,3/1,0 100 14,125 1,68 0,141 143,09/138,34
    (4)(0,1/0,3/1,0) + 1,0/0,3/1,0 100 14,238 1,52 0,142 143,95/139,63
  • In Tabelle 1 bezeichnen (1) und (2) Fälle, in denen eine HfO-Schicht unter Verwendung von ALD gebildet wird: Fall (1) ist ein Resultat der Durchführung von 100 Zyklen von Quellengaspulsen(0,3Sekunden)/Quellengasspülung(0,5Sekunden)/Sauerstoffvorpulsen(0,2Sekunden)/Sauerstoffplasma(0,1 Sekunden)/Sauerstoffspülung(0,2Sekunden); und Fall (2) ist ein Resultat der zusätzlichen Durchführung eines Zyklus, bei dem kein Quellengas zugeführt wird, zwischen Zyklen, bei denen das Quellengas zugeführt wird. Das Resultat (2) belegt, dass kein Totvolumen auftritt und die Gleichmäßigkeit in dem Ventilsystem gemäß der Erfindung nicht degradiert ist. In ähnlicher Weise belegen auch die Resultate (3) und (4) der Bildung einer AlO-Schicht unter Verwendung von ALD, dass kein Totvolumen in dem Ventilsystem gemäß der Erfindung auftritt.
  • Wie vorstehend beschrieben, wird es gemäß der Erfindung einem Quellengas, das in einer Zufuhrleitung einer Quellengaszufuhrlinie verbleibt, nicht ermöglicht, in eine Reaktionskammer zu strömen, sondern es wird durch einen Bypass abgeführt, wodurch ein Totvolumen verhindert wird. Daher wird eine zusätzliche Belastung eliminiert, ein Totvolumen zu spülen, das in der herkömmlichen Technologie auftritt. Als Ergebnis kann die Herstellung von Halbleiterbauelementen zuverlässiger durchgeführt werden.

Claims (50)

  1. Vorrichtung zur Herstellung eines Halbleiterbauelements mit – einer Reaktionskammer (110), in der ein Substrat verarbeitet wird, um das Halbleiterbauelement herzustellen, – einer ersten Prozessgaszufuhrleitung (128a), die der Reaktionskammer ein Spülgas als ein erstes Prozessgas zuführt, – einem Vierwegeventil (150) mit einem ersten Einlass (150d), einem zweiten Einlass (150c), einem ersten Auslass (150b) und einem zweiten Auslass (150a), wobei das Vierwegeventil mit dem ersten Einlass reaktionskammerabgewandt und dem ersten Auslass reaktionskammerzugewandt in der ersten Prozessgaszufuhrleitung (128a) installiert ist und der erste Auslass über eine erste Durchgangsöffnung (128b) kontinuierlich mit dem ersten Einlass in Verbindung steht und der zweite Auslass über eine zweite Durchgangsöffnung (150h2, 150h3, 151) kontinuierlich mit dem zweiten Einlass in Verbindung steht und die erste und die zweite Durchgangsöffnung in einer ersten Schaltstellung des Vierwegeventils gegeneinander abgesperrt sind und in einer zweiten Schaltstellung des Vierwegeventils miteinander in Verbindung stehen, – einer zweiten Prozessgaszufuhrleitung (122a), die mit dem zweiten Einlass des Vierwegeventils verbunden ist, um ein Quellengas oder ein reaktives Gas als ein zweites Prozessgas zuzuführen, – einem Bypass (116), der mit dem zweiten Auslass des Vierwegeventils verbunden ist, und – einem Absperrventil (152), das in dem Bypass installiert ist.
  2. Vorrichtung nach Anspruch 1, weiter gekennzeichnet durch eine dritte Prozessgaszufuhrleitung (126a), die mit der zweiten Prozessgaszufuhrleitung verbunden ist, um ein drittes Prozessgas zuzuführen.
  3. Vorrichtung nach Anspruch 2, dadurch gekennzeichnet, dass das dritte Prozessgas ein Trägergas ist.
  4. Vorrichtung nach Anspruch 2 oder 3, weiter gekennzeichnet durch: – eine erste Prozessgaszufuhrquelle (128), die an einem Ende der ersten Prozessgaszufuhrleitung installiert ist, – eine zweite Prozessgaszufuhrquelle (122), die an einem Ende der zweiten Prozessgaszufuhrleitung installiert ist, – eine dritte Prozessgaszufuhrquelle (126), die an einem Ende der dritten Prozessgaszufuhrleitung installiert ist, – ein weiteres Absperrventil (142), das zwischen der dritten Prozessgaszufuhrquelle und dem Vierwegeventil installiert ist, und – einen dritten Prozessgaszufuhrleitungszweig (122b), der sich von der dritten Prozessgaszufuhrleitung zwischen der dritten Prozessgaszufuhrquelle und dem weiteren Absperrventil zur zweiten Prozessgaszufuhrquelle erstreckt, um der zweiten Prozessgaszufuhrquelle selektiv das dritte Prozessgas zuzuführen, – wobei die zweite Prozessgaszufuhrleitung zwischen dem Vierwegeventil und dem ersten Absperrventil mit der dritten Prozessgaszufuhrleitung verbunden ist.
  5. Vorrichtung nach Anspruch 4, weiter gekennzeichnet durch eine andere zweite Prozessgaszufuhrquelle (222), die parallel zu der dritten Prozessgaszufuhrleitung und symmetrisch zu der zweiten Prozessgaszufuhrquelle derart installiert ist, dass das zweite Prozessgas und ein anderes zweites Prozessgas, vorzugsweise ein anderes Quellengas, selektiv zugeführt werden.
  6. Vorrichtung nach Anspruch 5, weiter gekennzeichnet durch: – ein erstes Dreiwegeventil (132), um den dritten Prozessgaszufuhrleitungszweig mit der dritten Prozessgaszufuhrleitung zu verbinden, und – ein zweites Dreiwegeventil (134), durch das die zweite Prozessgaszufuhrleitung zwischen dem Vierwegeventil und dem weiteren Absperrventil mit der dritten Prozessgaszufuhrleitung verbunden ist, – wobei sich ein anderer dritter Prozessgaszufuhrleitungszweig von der dritten Prozessgaszufuhrleitung zwischen der dritten Prozessgaszufuhrquelle und dem ersten Dreiwegeventil durch ein drittes Dreiwegeventil (232) zu der anderen zweiten Prozessgaszufuhrquelle erstreckt, um der anderen zweiten Prozessgaszufuhrquelle selektiv das dritte Prozessgas zuzuführen, und – wobei ein Ende einer anderen zweiten Prozessgaszufuhrleitung zwischen dem Vierwegeventil und dem zweiten Dreiwegeventil über ein viertes Dreiwegeventil (234) mündet und ein anderes Ende mit der anderen zweiten Prozessgaszufuhrquelle verbunden ist.
  7. Vorrichtung nach einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass das Absperrventil ein Zweiwege-Membranventil ist.
  8. Vorrichtung nach einem der Ansprüche 1 bis 7, dadurch gekennzeichnet, dass das Vierwegeventil ein Vierwege-Membranventil ist.
  9. Vorrichtung nach einem der Ansprüche 2 bis 8, dadurch gekennzeichnet, dass das Vierwegeventil und das Absperrventil so ausgelegt sind, dass sie der Reaktionskammer das erste Prozessgas zuführen und das dritte Prozessgas in den Bypass strömen lassen, wenn das Vierwegeventil geschlossen ist und das Absperrventil offen ist, und der Reaktionskammer das erste Prozessgas und das dritte Prozessgas zuführen, wenn das Vierwegeventil offen ist und das Absperrventil geschlossen ist.
  10. Vorrichtung nach Anspruch 8 oder 9, dadurch gekennzeichnet, dass das Vierwege-Membranventil beinhaltet: – einen Körper (150e), – ein Gehäuse (150f), das so ausgebildet ist, dass es oberhalb des Körpers einen vorgegebenen Zwischenraum definiert, – eine Membran, die innerhalb des Gehäuses installiert ist, – eine erste horizontale Durchgangsöffnung (128b), die so ausgebildet ist, dass sie es der ersten Prozessgaszufuhrleitung ermöglicht, einen unteren Bereich des Körpers durch den ersten Einlass und den ersten Auslass des Vierwege-Membranventils geradlinig zu durchdringen, – eine erste vertikale Durchgangsöffnung (150h1), die sich vertikal von der ersten horizontalen Durchgangsöffnung zu einer Oberseite des Körpers erstreckt, – eine zweite vertikale Durchgangsöffnung (150h2), die sich vertikal von dem zweiten Auslass des mit dem Bypass verbundenen Vierwege-Membranventils zu der Oberseite des Körpers erstreckt, – eine dritte vertikale Durchgangsöffnung (150h3), die sich vertikal von dem zweiten Einlass des mit der zweiten Prozessgaszufuhrleitung verbundenen Vierwege-Membranventils zu der Oberseite des Körpers erstreckt, und – eine zweite horizontale Durchgangsöffnung (151), die einen oberen Bereich der zweiten vertikalen Durchgangsöffnung und einen oberen Bereich der dritten vertikalen Durchgangsöffnung verbindet.
  11. Vorrichtung nach Anspruch 8 oder 9, dadurch gekennzeichnet, dass das Vierwege-Membranventil beinhaltet: – einen Körper (154g), – ein Gehäuse (154h), das so ausgebildet ist, dass es über dem Körper einen vorgegebenen Zwischenraum definiert, – eine Membran (154i), die innerhalb des Gehäuses installiert ist, – eine erste horizontale Durchgangsöffnung (154e), die so ausgebildet ist, dass sie es der ersten Prozessgaszufuhrleitung ermöglicht, einen unteren Bereich des Körpers durch den ersten Einlass und den ersten Auslass des Vierwege-Membranventils im rechten Winkel zu durchdringen, – eine erste vertikale Durchgangsöffnung (154h1), die sich vertikal von der ersten horizontalen Durchgangsöffnung zu einer Oberseite des Körpers erstreckt, – eine zweite horizontale Durchgangsöffnung (154f), die den zweiten Einlass des mit der zweiten Prozessgaszufuhrleitung verbundenen Vierwege-Membranventils und den zweiten Auslass des mit dem Bypass verbundenen Vierwege-Membranventils im rechten Winkel verbindet, und – eine zweite vertikale Durchgangsöffnung (154h2), die sich vertikal von der zweiten horizontalen Durchgangsöffnung zu der Oberseite des Körpers erstreckt.
  12. Vorrichtung nach einem der Ansprüche 1 bis 11, weiter gekennzeichnet durch: – eine Abzugsleitung (113), die mit der Reaktionskammer verbunden ist, um Gas aus der Reaktionskammer abzuführen, und – eine Abzugspumpe (112), die bei der Abzugsleitung installiert ist, – wobei der Bypass vor der Abzugspumpe mit der Abzugsleitung verbunden ist.
  13. Vorrichtung nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, dass die Vorrichtung eine Depositionsvorrichtung oder eine Ätzvorrichtung ist, welche die der Reaktionskammer zugeführten Prozessgase verwendet.
  14. Vorrichtung nach Anspruch 12 oder 13, weiter gekennzeichnet durch: – eine Zufuhrleitung (124a) für reaktives Gas, die mit der Reaktionskammer verbunden ist, um der Reaktionskammer ein reaktives Gas zuzuführen, und – eine Zufuhrleitung (130a) für ein zweites Trägergas, die mit der Zufuhrleitung für reaktives Gas verbunden ist.
  15. Vorrichtung nach einem der Ansprüche 12 bis 14, weiter gekennzeichnet durch ein Drosselventil (114), das bei der Abzugsleitung zwischen der Reaktionskammer und einer Übergangsstelle der Abzugsleitung und des Bypasses installiert ist.
  16. Vorrichtung nach Anspruch 14 oder 15, weiter gekennzeichnet durch eine Zufuhrquelle für ein anderes reaktives Gas, die bei der Zufuhrleitung für reaktives Gas parallel zu der Zufuhrquelle für ein reaktives Gas derart installiert ist, dass das reaktive Gas und das andere reaktive Gas selektiv zugeführt werden.
  17. Vorrichtung nach einem der Ansprüche 14 bis 16, dadurch gekennzeichnet, dass wenigstens ein Gas von dem Quellengas und dem reaktiven Gas der Reaktionskammer intermittierend zugeführt wird.
  18. Vorrichtung nach einem der Ansprüche 14 bis 17, weiter gekennzeichnet durch ein zweites Vierwegeventil (250) mit einem ersten Einlass, einem zweiten Einlass, einem ersten Auslass und einem zweiten Auslass, wobei das zweite Vierwegeventil bei der Spülgaszufuhrleitung derart installiert ist, dass der erste Einlass und der erste Auslass mit der Spülgaszufuhrleitung verbunden sind und das zweite Vierwegeventil mit dem ersten Vierwegeventil (150) seriell verbunden ist, wobei – das zweite Prozessgas ein erstes Quellengas ist, wobei die erste Quellengaszufuhrleitung und eine zweite Quellengaszufuhrleitung mit den zweiten Einlässen des ersten bzw. zweiten Vierwegeventils verbunden sind, um der Reaktionskammer ein Quellengas zuzuführen, – Zufuhrleitungen für ein erstes Trägergas, von denen eine die dritte Prozessgaszufuhrleitung ist, mit der ersten bzw. der zweiten Quellengaszufuhrleitung verbunden sind, – der Bypass zwei Zweige, die mit den zweiten Auslässen des ersten bzw. zweiten Vierwegeventils verbunden sind, und ein Ende beinhaltet, das vor der Abzugspumpe mit der Abzugsleitung verbunden ist, und – Absperrventile jeweils bei den zwei Zweigen des Bypasses installiert sind.
  19. Vorrichtung nach Anspruch 18, dadurch gekennzeichnet, dass das Drosselventil bei der Abzugsleitung zwischen der Reaktionskammer und einer Übergangsstelle der Abzugsleitung und des Bypasses installiert ist, wobei sich die Zweige des Bypasses miteinander verbinden, bevor der Bypass mit der Abzugsleitung verbunden ist.
  20. Vorrichtung nach Anspruch 18 oder 19, weiter gekennzeichnet durch: – Zufuhrquellen für ein erstes Trägergas, die jeweils an Enden der jeweiligen Zufuhrleitungen für das erste Trägergas installiert sind, – ein erstes Absperrventil, das zwischen einer der Zufuhrquellen für das erste Trägergas und dem ersten Vierwegeventil installiert ist, und ein weiteres erstes Absperrventil, das zwischen einer anderen der Zufuhrquellen für das erste Trägergas und dem zweiten Vierwegeventil installiert ist, – eine erste Quellengaszufuhrquelle, die an einem Ende der ersten Quellengaszufuhrleitung installiert ist, und eine zweite Quellengaszufuhrquelle, die an einem Ende der zweiten Quellengaszufuhrleitung installiert ist, – einen Zufuhrleitungszweig für ein erstes Trägergas, der sich von einer der Zufuhrleitungen für das erste Trägergas zwischen einer zugehörigen der Zufuhrquellen für das erste Trägergas und ein zugehöriges der ersten Absperrventile zu der ersten Quellengaszufuhrquelle erstreckt, um der ersten Quellengaszufuhrquelle das erste Trägergas zuzuführen, und – einen weiteren Zufuhrleitungszweig für das erste Trägergas, der sich von einer anderen der Zufuhrleitungen für das erste Trägergas zwischen einer zugehörigen der Zufuhrquellen für das erste Trägergas und einem zugehörigen der ersten Absperrventile zu der zweiten Quellengaszufuhrquelle erstreckt, um der zweiten Quellengaszufuhrquelle selektiv das erste Trägergas zuzuführen, – wobei jede der ersten und zweiten Quellengaszufuhrleitungen mit einer der Zufuhrleitungen für das erste Trägergas zwischen einem des ersten und des zweiten Vierwegeventils und einem der ersten Absperrventile verbunden ist.
  21. Vorrichtung nach einem der Ansprüche 18 bis 20, dadurch gekennzeichnet, dass das zweite Vierwegeventil ein Vierwege-Membranventil ist.
  22. Verfahren zum Herstellen eines Halbleiterbauelements unter Verwendung der Vorrichtung nach einem der Ansprüche 1 bis 21, gekennzeichnet durch die folgenden Ventilsteuerschritte: – Schließen des bei dem Bypass installierten Absperrventils (152) und Öffnen des Vierwegeventils (150), während der Reaktionskammer (110) das zweite Prozessgas zugeführt wird, und – Öffnen des Absperrventils und Schließen des Vierwegeventils, während die Zufuhr des zweiten Prozessgases zu der Reaktionskammer unterbrochen ist.
  23. Verfahren nach Anspruch 22, dadurch gekennzeichnet, dass während der Zufuhr des zweiten Prozessgases in die Reaktionskammer oder der Unterbrechung der Zufuhr des zweiten Prozessgases der Reaktionskammer ungeachtet eines offenen oder geschlossenen Zustands des Vierwegeventils das erste Prozessgas kontinuierlich zugeführt wird.
  24. Verfahren nach Anspruch 22 oder 23, dadurch gekennzeichnet, dass das erste Prozessgas ein Spülgas ist und das zweite Prozessgas ein Quellengas ist, das in der Reaktionskammer reagiert, um das Halbleiterbauelement auf dem Substrat herzustellen.
  25. Verfahren nach einem der Ansprüche 22 bis 24, dadurch gekennzeichnet, dass, wenn die Vorrichtung des Weiteren die mit der zweiten Prozessgaszufuhrleitung verbundene dritte Prozessgaszufuhrleitung beinhaltet, um zu ermöglichen, dass dem zweiten Einlass des Vierwegeventils das dritte Prozessgas und das zweite Prozessgas selektiv zugeführt werden, – der Reaktionskammer das dritte Prozessgas zugeführt wird, während der Reaktionskammer das zweite Prozessgas zugeführt wird, und – nur das dritte Prozessgas in den Bypass strömt, während die Zufuhr des zweiten Prozessgases zu der Reaktionskammer unterbrochen ist.
  26. Verfahren nach einem der Ansprüche 22 bis 25, dadurch gekennzeichnet, dass, wenn die Vorrichtung des Weiteren die an einem Ende der Quellengaszufuhrleitung installierte Quellengaszufuhrquelle, die Zufuhrquelle für das erste Trägergas, die an einem Ende der Zufuhrleitung für das erste Trägergas installiert ist, die mit der Quellenzufuhrleitung zwischen dem Vierwegeventil und der Quellengaszufuhrquelle verbunden ist, den Zufuhrleitungszweig für das erste Trägergas, der sich von der Zufuhrleitung für das erste Trägergas zu der Quellengaszufuhrquelle erstreckt, und das erste Absperrventil beinhaltet, das zwischen einer Übergangsstelle der Zufuhrleitung für das erste Trägergas und dem Zufuhrleitungszweig für das erste Trägergas und einer Übergangsstelle der Quellengaszufuhrleitung und der Zufuhrleitung für das erste Trägergas installiert ist, – das erste Absperrventil geschlossen wird und der Reaktionskammer das erste Trägergas und das Quellengas gleichzeitig zugeführt werden, während der Reaktionskammer das Quellengas zugeführt wird, und – das erste Absperrventil und das bei dem Bypass installierte Absperrventil offen sind und lediglich das erste Trägergas in den Bypass strömt, während die Zufuhr des Quellengases zu der Reaktionskammer unterbrochen ist.
  27. Verfahren zum Herstellen eines Halbleiterbauelements unter Verwendung der Vorrichtung nach einem der Ansprüche 1 bis 21, gekennzeichnet durch die folgenden Schritte: – Laden des Substrats in die Reaktionskammer (110), – Anbringen eines Quellengasmaterials an dem Substrat, indem der Reaktionskammer das Quellengas zugeführt wird, – Reinigen eines Quellengasmaterials, das nicht an dem Substrat angebracht ist, indem der Reaktionskammer das Spülgas zugeführt wird, – Bilden einer Schicht aus dem ersten Reaktionsprodukt auf dem Substrat, indem der Reaktionskammer das reaktive Gas zugeführt wird, um zu ermöglichen, dass das reaktive Gas mit dem an dem Substrat angebrachten Quellengasmaterial reagiert, und – Reinigen des reaktiven Gases, das nicht mit dem Quellengasmaterial reagiert hat, indem der Reaktionskammer das Spülgas zugeführt wird.
  28. Verfahren nach Anspruch 27, weiter gekennzeichnet durch Wiederholen eines einzelnen Zyklus, der aus dem Anbringen des Quellengasmaterials, dem Spülen des Quellengasmaterials, dem Bilden der Schicht aus dem ersten Reaktionsprodukt und dem Spülen des reaktiven Gases besteht.
  29. Verfahren nach Anspruch 27 oder 28, dadurch gekennzeichnet, dass das Bilden der Schicht aus dem ersten Reaktionsprodukt beinhaltet, dass es dem reaktiven Gas ermöglicht wird, mit dem Quellengasmaterial in einem Plasmazustand zu reagieren.
  30. Verfahren nach einem der Ansprüche 27 bis 29, dadurch gekennzeichnet, dass der Reaktionskammer kontinuierlich das Spülgas zugeführt wird, während das Substrat in der Reaktionskammer bearbeitet wird.
  31. Verfahren nach einem der Ansprüche 27 bis 30, dadurch gekennzeichnet, dass das Anbringen des Quellengasmaterials das Zuführen des Spülgases, des ersten Trägergases und des zweiten Trägergases in die Reaktionskammer zusammen mit dem Quellengas beinhaltet.
  32. Verfahren nach einem der Ansprüche 27 bis 31, dadurch gekennzeichnet, dass das Anbringen des Quellengasmaterials das Schließen des bei dem Bypass installierten Absperrventils und das Öffnen des zweiten Einlasses und des ersten Auslasses des Vierwegeventils beinhaltet, um zu ermöglichen, dass das Quellengas durch die Quellengaszufuhrleitung in die Reaktionskammer strömt.
  33. Verfahren nach einem der Ansprüche 27 bis 32, dadurch gekennzeichnet, dass das Spülen des Quellengasmaterials das Zuführen des zweiten Trägergases in die Reaktionskammer zusammen mit dem Spülgas beinhaltet.
  34. Verfahren nach einem der Ansprüche 27 bis 33, dadurch gekennzeichnet, dass das Spülen des Quellengasmaterials das Öffnen des bei dem Bypass installierten Absperrventils und das gleichzeitige Öffnen des zweiten Einlasses und des zweiten Auslasses des Vierwegeventils beinhaltet, um zu ermöglichen, dass das erste Trägergas durch die Quellengaszufuhrleitung in den Bypass strömt.
  35. Verfahren nach einem der Ansprüche 27 bis 34, dadurch gekennzeichnet, dass das Bilden der Schicht aus dem ersten Reaktionsprodukt das Zuführen des Spülgases und des zweiten Trägergases zur Reaktionskammer zusammen mit dem Reaktionsgas beinhaltet.
  36. Verfahren nach einem der Ansprüche 27 bis 35, dadurch gekennzeichnet, dass das Bilden der Schicht aus dem ersten Reaktionsprodukt das Öffnen des bei dem Bypass installierten Absperrventils und das gleichzeitige Öffnen des zweiten Einlasses und des zweiten Auslasses des Vierwegeventils beinhaltet, um zu ermöglichen, dass das erste Trägergas durch die Quellengaszufuhrleitung in den Bypass strömt.
  37. Verfahren nach einem der Ansprüche 27 bis 36, dadurch gekennzeichnet, dass, wenn die Vorrichtung des Weiteren die Quellengaszufuhrquelle, die an einem Ende der Quellengaszufuhrleitung installiert ist, die Zufuhrquelle für das erste Trägergas, die an einem Ende der Zufuhrleitung für das erste Trägergas installiert ist, die mit der Quellenzufuhrleitung zwischen dem Vierwegeventil und der Quellengaszufuhrquelle verbunden ist, den Zufuhrleitungszweig für das erste Trägergas, der sich von der Zufuhrleitung für das erste Trägergas bis zu der Quellengaszufuhrquelle erstreckt, und das erste Absperrventil beinhaltet, das zwischen einer Übergangsstelle der Zufuhrleitung für das erste Trägergas und dem Zufuhrleitungszweig für das erste Trägergas und einer Übergangsstelle der Quellengaszufuhrleitung und der Zufuhrleitung für das erste Trägergas installiert ist, – das erste Absperrventil geschlossen wird und der Reaktionskammer das erste Trägergas und das Quellengas gleichzeitig zugeführt werden, während der Reaktionskammer das Quellengas zugeführt wird, und – das erste Absperrventil und das bei dem Bypass installierte Absperrventil offen sind und lediglich das erste Trägergas in den Bypass strömt, während die Zufuhr des Quellengases zu der Reaktionskammer unterbrochen wird.
  38. Verfahren nach Anspruch 37, dadurch gekennzeichnet, dass verschiedene Quellengase durch eine Mehrzahl von Quellengaszufuhrquellen selektiv zugeführt werden, die parallel installiert sind.
  39. Verfahren nach Anspruch 37 oder 38, dadurch gekennzeichnet, dass verschiedene reaktive Gase durch eine Mehrzahl von Zufuhrquellen für reaktives Gas selektiv zugeführt werden, die parallel bei der Zufuhrleitung für das reaktive Gas installiert sind.
  40. Verfahren nach Anspruch 38 oder 39, dadurch gekennzeichnet, dass die verschiedenen Quellengase in Quellengaspulsstufen alternierend zugeführt werden.
  41. Verfahren nach Anspruch 40, dadurch gekennzeichnet, dass eine Mehrzahl von Quellengaspulsstufen, die ein Quellengas unter den verschiedenen Quellengasen zuführen, durchgeführt wird und dann eine Mehrzahl von Quellengaspulsstufen durchgeführt wird, die ein anderes Quellengas zuführen.
  42. Verfahren nach einem der Ansprüche 39 bis 41, dadurch gekennzeichnet, dass die verschiedenen reaktiven Gase in Pulsstufen für reaktives Gas alternierend zugeführt werden.
  43. Verfahren nach Anspruch 42, dadurch gekennzeichnet, dass eine Mehrzahl von Pulsstufen für reaktives Gas, die ein reaktives Gas unter den verschiedenen reaktiven Gasen zuführen, durchgeführt wird und dann eine Mehrzahl von Pulsstufen für reaktives Gas durchgeführt wird, die ein anderes reaktives Gas zuführen.
  44. Verfahren nach einem der Ansprüche 22 bis 43, dadurch gekennzeichnet, dass, wenn das Vierwegeventil geschlossen ist, der Reaktionskammer das Spülgas zugeführt wird und das erste Trägergas oder das Quellengas in den Bypass strömt und dass, wenn das Vierwegeventil offen ist, der Reaktionskammer das Spülgas zugeführt wird und das erste Trägergas oder das Quellengas in den Bypass und die Reaktionskammer strömt.
  45. Verfahren nach einem der Ansprüche 22 bis 44, dadurch gekennzeichnet, dass, wenn das Vierwegeventil geschlossen ist und das Absperrventil offen ist, der Reaktionskammer das Spülgas zugeführt wird und das erste Trägergas oder das Quellengas in den Bypass strömt und dass, wenn das Vierwege-Membranventil offen ist und das Absperrventil geschlossen ist, der Reaktionskammer das Spülgas und das erste Trägergas oder das Quellengas zugeführt werden.
  46. Verfahren nach einem der Ansprüche 27 bis 45, dadurch gekennzeichnet, dass das Anbringen eines Quellengasmaterials an dem Substrat das selektive Zuführen eines ersten oder eines zweiten Quellengases zu der Reaktionskammer beinhaltet.
  47. Verfahren nach Anspruch 46, dadurch gekennzeichnet, dass das Anbringen des Quellengasmaterials das Schließen eines der Absperrventile, die bei dem Bypass installiert sind, und das Öffnen des zweiten Einlasses und des ersten Auslasses des ersten oder des zweiten Vierwegeventils beinhaltet, um zu ermöglichen, dass das erste oder das zweite Quellengas durch die erste oder die zweite Quellengaszufuhrleitung in die Reaktionskammer strömt.
  48. Verfahren nach Anspruch 46 oder 47, dadurch gekennzeichnet, dass das Spülen des Quellengasmaterials das Öffnen von einem der bei dem Bypass installierten Absperrventile und das gleichzeitige Öffnen des zweiten Einlasses und des zweiten Auslasses des ersten oder des zweiten Vierwegeventils beinhaltet, um zu ermöglichen, dass das erste Trägergas durch die erste oder die zweite Quellengaszufuhrleitung in den Bypass strömt.
  49. Verfahren nach einem der Ansprüche 27 bis 48, dadurch gekennzeichnet, dass das Bilden der Schicht aus dem ersten Reaktionsprodukt das Öffnen von einem der bei dem Bypass installierten Absperrventile und das gleichzeitige Öffnen des zweiten Einlasses und des zweiten Auslasses des ersten oder des zweiten Vierwegeventils beinhaltet, um zu ermöglichen, dass das erste Trägergas durch die erste oder die zweite Quellengaszufuhrleitung in den Bypass strömt.
  50. Verfahren nach einem der Ansprüche 46 bis 49, dadurch gekennzeichnet, dass das erste Quellengas anhaftender als das zweite Quellengas ist.
DE200610003100 2005-01-19 2006-01-16 Vorrichtung und Verfahren zur Herstellung eines Halbleiterbauelements Active DE102006003100B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2005-0005074 2005-01-19
KR20050005074 2005-01-19
KR1020050076968A KR100699861B1 (ko) 2005-01-19 2005-08-22 4-웨이밸브를 포함하는 반도체소자 제조장치, 반도체소자제조장치의 밸브 제어방법 및 이를 이용한 반도체소자의제조방법
KR10-2005-0076968 2005-08-22

Publications (2)

Publication Number Publication Date
DE102006003100A1 DE102006003100A1 (de) 2006-08-17
DE102006003100B4 true DE102006003100B4 (de) 2008-04-10

Family

ID=36682542

Family Applications (1)

Application Number Title Priority Date Filing Date
DE200610003100 Active DE102006003100B4 (de) 2005-01-19 2006-01-16 Vorrichtung und Verfahren zur Herstellung eines Halbleiterbauelements

Country Status (3)

Country Link
US (5) US20060156980A1 (de)
JP (1) JP5473184B2 (de)
DE (1) DE102006003100B4 (de)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060156980A1 (en) 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
WO2008058406A1 (de) * 2006-11-13 2008-05-22 Inficon Gmbh Vakuummembranmesszelle und verfahren zur herstellung einer derartigen messzelle
US20080163817A1 (en) * 2007-01-04 2008-07-10 Oc Oerlikon Balzers Ag Apparatus for gas handling in vacuum processes
JP4553265B2 (ja) * 2007-03-23 2010-09-29 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104882352B (zh) * 2015-05-18 2017-04-05 中国计量科学研究院 气相分子‑离子反应的质谱装置及分析方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106531666A (zh) * 2016-11-22 2017-03-22 上海华力微电子有限公司 工艺腔室及半导体工艺设备
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102411152B1 (ko) 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11661654B2 (en) * 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11077401B2 (en) * 2018-05-16 2021-08-03 Highvac Corporation Separated gas stream point of use abatement device
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115595559A (zh) * 2022-10-27 2023-01-13 拓荆科技股份有限公司(Cn) 多腔室半导体设备

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1987002598A1 (en) * 1985-10-22 1987-05-07 Aixtron Gmbh Gas inlet device for reactors
EP0511115A1 (de) * 1991-04-24 1992-10-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Gegenstrom-Ventil
EP0314299B1 (de) * 1987-09-25 1993-06-09 Ebara Corporation Methode und Apparat zur Behandlung von Abgas von Halbleiterherstellungsverfahren
JPH0758032A (ja) * 1993-08-09 1995-03-03 Hitachi Electron Eng Co Ltd 圧力制御装置および圧力制御方法
US5948169A (en) * 1998-03-11 1999-09-07 Vanguard International Semiconductor Corporation Apparatus for preventing particle deposition in a capacitance diaphragm gauge
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment
JP2003168648A (ja) * 2001-11-29 2003-06-13 Tokyo Electron Ltd 処理方法及び処理装置
JP2005005588A (ja) * 2003-06-13 2005-01-06 Seiko Epson Corp 半導体装置の製造方法及び製造装置並びに半導体装置及び電気光学装置
JP2005015904A (ja) * 2003-06-30 2005-01-20 Fuji Electric Device Technology Co Ltd 半導体装置の製造方法および半導体装置の製造装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8428032D0 (en) * 1984-11-06 1984-12-12 Secr Defence Growth of crystalline layers
JPS61195043A (ja) 1985-02-25 1986-08-29 Mitsubishi Electric Corp 高速デジタル信号伝送路のインピ−ダンス整合方式
JPH0526736Y2 (de) * 1985-05-24 1993-07-07
JPH0647073B2 (ja) * 1988-07-08 1994-06-22 忠弘 大見 プロセス装置用ガス供給配管装置
JPH01220821A (ja) * 1988-02-29 1989-09-04 Furukawa Electric Co Ltd:The 気相成長装置のガス制御方法
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
US4907534A (en) * 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
JPH0323625A (ja) * 1989-06-21 1991-01-31 Matsushita Electric Ind Co Ltd 半導体気相成長装置
JPH0362921A (ja) * 1989-07-31 1991-03-19 Matsushita Electric Ind Co Ltd 有機金属気相成長装置
JPH0478388A (ja) * 1990-07-20 1992-03-12 Nippon Sanso Kk 弁装置
JPH04302138A (ja) * 1991-03-29 1992-10-26 Furukawa Electric Co Ltd:The 半導体ウエハの気相成長装置
JP3046643B2 (ja) * 1991-06-10 2000-05-29 富士通株式会社 半導体装置の製造方法
JPH0547665A (ja) * 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
JP3124376B2 (ja) * 1992-06-17 2001-01-15 株式会社東芝 化合物半導体の気相成長装置
JP3405466B2 (ja) * 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JPH06163425A (ja) * 1992-11-20 1994-06-10 Toshiba Corp 気相成長装置
JPH07175A (ja) 1993-06-15 1995-01-06 Kubota Corp 線虫類の培養装置
US5458086A (en) * 1993-10-13 1995-10-17 Superconductor Technologies, Inc. Apparatus for growing metal oxides using organometallic vapor phase epitaxy
US5922286A (en) * 1996-06-18 1999-07-13 L'air Liquide, Societe Anonyme Pour L'atude Et L'exploitation Des Procedes Georges Claude Device for delivering any one of a plurality of gases to an apparatus
JP3579763B2 (ja) * 1999-07-01 2004-10-20 日本酸素株式会社 ガス供給装置及び方法
JP4002060B2 (ja) * 2000-09-26 2007-10-31 株式会社島津製作所 液体材料供給装置
JP4108941B2 (ja) * 2000-10-31 2008-06-25 株式会社荏原製作所 基板の把持装置、処理装置、及び把持方法
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4184686B2 (ja) * 2001-03-28 2008-11-19 株式会社東芝 半導体装置の製造方法
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
KR100452525B1 (ko) 2001-12-26 2004-10-12 주성엔지니어링(주) Ald 공정에 적합한 가스 인젝터
JP2003257875A (ja) * 2002-03-05 2003-09-12 Fujitsu Ltd 半導体装置の製造方法および成膜方法
KR100478012B1 (ko) 2002-05-02 2005-03-22 주성엔지니어링(주) 에이엘디 프로세스 모듈의 가스공급시스템
KR100483208B1 (ko) 2002-08-02 2005-04-15 주성엔지니어링(주) 원자층증착방법용 박막증착장치의 밸브 시스템
KR100626366B1 (ko) * 2003-07-18 2006-09-20 삼성전자주식회사 기상 증착 시스템
US20060130755A1 (en) * 2004-12-17 2006-06-22 Clark William R Pulsed mass flow measurement system and method
US20060156980A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1987002598A1 (en) * 1985-10-22 1987-05-07 Aixtron Gmbh Gas inlet device for reactors
EP0314299B1 (de) * 1987-09-25 1993-06-09 Ebara Corporation Methode und Apparat zur Behandlung von Abgas von Halbleiterherstellungsverfahren
EP0511115A1 (de) * 1991-04-24 1992-10-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Gegenstrom-Ventil
JPH0758032A (ja) * 1993-08-09 1995-03-03 Hitachi Electron Eng Co Ltd 圧力制御装置および圧力制御方法
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment
US5948169A (en) * 1998-03-11 1999-09-07 Vanguard International Semiconductor Corporation Apparatus for preventing particle deposition in a capacitance diaphragm gauge
JP2003168648A (ja) * 2001-11-29 2003-06-13 Tokyo Electron Ltd 処理方法及び処理装置
JP2005005588A (ja) * 2003-06-13 2005-01-06 Seiko Epson Corp 半導体装置の製造方法及び製造装置並びに半導体装置及び電気光学装置
JP2005015904A (ja) * 2003-06-30 2005-01-20 Fuji Electric Device Technology Co Ltd 半導体装置の製造方法および半導体装置の製造装置

Also Published As

Publication number Publication date
JP5473184B2 (ja) 2014-04-16
US9702041B2 (en) 2017-07-11
US9029244B2 (en) 2015-05-12
US20130029477A1 (en) 2013-01-31
DE102006003100A1 (de) 2006-08-17
US20150221497A1 (en) 2015-08-06
US20110097905A1 (en) 2011-04-28
US9406502B2 (en) 2016-08-02
US20160281234A1 (en) 2016-09-29
US20060156980A1 (en) 2006-07-20
JP2006203208A (ja) 2006-08-03

Similar Documents

Publication Publication Date Title
DE102006003100B4 (de) Vorrichtung und Verfahren zur Herstellung eines Halbleiterbauelements
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
DE69808535T2 (de) Verfahren zur Herstellung einer organischen elektrolumineszenten Vorrichtung
DE69904910T2 (de) Gaszuführsystem für cvd reaktor und verfahren zu dessen steuerung
DE19581483B4 (de) Verfahren und Vorrichtung zur Bildung von Dünnschichten
DE60011215T2 (de) Vorrichtung zum Aufbringen von dünnen Schichten
DE69515926T2 (de) Verfahren zur Züchtung einer Halbleiterverbindungsschicht
DE112010002199T5 (de) Brausekopf für eine Vakuumschichtabscheidungsvorrichtung
DE69826171T2 (de) Zuführvorrichtung für Prozessgas
DE60223710T2 (de) Flüssigkeitsversorgungsvorrichtung mit reinigungsfunktion
DE60217317T2 (de) Wärmebehandlungsverfahren
WO2013189716A1 (de) Ald-beschichtungsanlage
DE10223765A1 (de) Halbleiterherstellungssystem mit Auslaßrohr, Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem und Verfahren zur Herstellung einer Halbleitervorrichtung
DE10065454A1 (de) Verfahren zur Herstellung eines Aluminiumoxidfilms zur Verwendung in einem Halbleitergerät
DE10353326A1 (de) Substratverarbeitungsgerät und Verfahren zum Verarbeiten eines Substrats unter Steuerung der Kontaminierung in einem Substrattransfermodul
DE102010000001A1 (de) Inline-Beschichtungsanlage
WO2019038327A1 (de) Behandlungsvorrichtung für substrate und verfahren zum betrieb einer solchen behandlungsvorrichtung
DE102017107299B4 (de) Filmbildungsvorrichtung und Filmbildungsverfahren
DE112015003176T5 (de) Düsenkopf, Vorrichtung und Verfahren, die dazu geeignet sind, eine Oberfläche eines Substrats aufeinanderfolgenden Oberflächenreaktionen zu unterziehen
DE112008001620B4 (de) Verfahren und Vorrichtung zum Schleusen überlanger Substrate in einer Vakuumbeschichtungsanlage, Vakuumbeschichtungsanlage und Verfahren zu deren Betrieb
DE19915034A1 (de) Adsorbierender Gaswäscher zum Beseitigen des während des Halbleiterherstellungsverfahrens erzeugten Gases
DE102006024185A1 (de) Verfahren zum Herstellen einer Siliziumkarbidhalbleitervorrichtung
DE68919819T2 (de) Leitungssystem zur gasversorgung einer prozessanlage.
DE102011106859A1 (de) Verfahren und Vorrichtung zur kontinuierlichen Beschichtung von Substraten
DE19851824A1 (de) Verfahren zur Verminderung von Ablagerungen in einem CVD-Reaktor sowie ein zur Durchführung eines solchen Verfahrens geeigneter CVD-Reaktor

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R081 Change of applicant/patentee

Owner name: SAMSUNG ELECTRONICS CO., LTD., SUWON-SI, KR

Free format text: FORMER OWNERS: SAMSUNG ELECTRONICS CO., LTD., SUWON-SI, GYEONGGI-DO, KR; ASM GENITECH KOREA, INC., DAEJEON METROPOLITAN CITY, KR

Owner name: ASM KOREA LTD., HWASEONG-SI, KR

Free format text: FORMER OWNERS: SAMSUNG ELECTRONICS CO., LTD., SUWON-SI, GYEONGGI-DO, KR; ASM GENITECH KOREA, INC., DAEJEON METROPOLITAN CITY, KR

R082 Change of representative

Representative=s name: PATENTANWAELTE RUFF, WILHELM, BEIER, DAUSTER &, DE