DE102005063089A1 - Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand - Google Patents

Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand Download PDF

Info

Publication number
DE102005063089A1
DE102005063089A1 DE102005063089A DE102005063089A DE102005063089A1 DE 102005063089 A1 DE102005063089 A1 DE 102005063089A1 DE 102005063089 A DE102005063089 A DE 102005063089A DE 102005063089 A DE102005063089 A DE 102005063089A DE 102005063089 A1 DE102005063089 A1 DE 102005063089A1
Authority
DE
Germany
Prior art keywords
etch stop
layer
stop layer
bevel
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102005063089A
Other languages
English (en)
Inventor
Ralf Richter
Tobias Letz
Holger Schuehrer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102005063089A priority Critical patent/DE102005063089A1/de
Priority to US11/531,793 priority patent/US8426312B2/en
Publication of DE102005063089A1 publication Critical patent/DE102005063089A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Durch Vorsehen einer Ätzstoppschicht selektiv an der Abschrägung kann zumindest ein zusätzlicher nasschemischer Ätzprozess an der Abschrägung vor oder während des Herstellens einer Metallisierungsschicht durchgeführt werden, ohne das Substratmaterial zu beeinflussen. Somit kann das dielektrische Material und insbesondere dielektrisches Material mit kleinem epsilon zuverlässig von der Abschrägung vor der Herstellung einer Barrieren- und Metallschicht entfernt werden. Die Ätzstoppschicht kann in einer frühen Fertigungsphase gebildet werden, so dass ein Ätzprozess an der Abschrägung während einer beliebigen gewünschten Phase bei der Herstellung von Schaltungselementen durchgeführt werden kann.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten und damit einhergehende Kontaminationen in nachfolgenden Prozessen.
  • Beschreibung des Stands der Technik
  • Halbleiterbauelemente werden typischerweise in im Wesentlichen scheibenförmigen Substraten hergestellt, die aus einem geeigneten Material aufgebaut sind. Der Hauptanteil an Halbleiterbauelementen mit äußert komplexen elektronischen Schaltungen wird gegenwärtig und auch in der absehbaren Zukunft auf der Grundlage von Silizium hergestellt, wodurch Siliziumsubstrate und siliziumenthaltende Substrate, etwa SOI-(Silizium-auf-Isolator-)Substrate, geeignete Träger für die Herstellung von Halbleiterbauelementen, etwa Mikroprozessoren, SRAM's, ASIC's (anwendungsspezifische IC's) und dergleichen sind. Die einzelnen integrierten Schaltungen sind in einer Array-Form angeordnet, wobei die meisten Fertigungsschritte, die sich bis zu 500 oder 1000 und mehr einzelne Prozessschritte in modernen integrierten Schaltungen belaufen können, gleichzeitig für alle Chipbereiche in dem Substrat ausgeführt werden, mit der Ausnahme von Photolithographieprozessen, gewissen Messprozessen und dem Einbringen in ein Gehäuse der einzelnen Bauelemente nach dem Schneiden des Substrats. Somit zwingen ökonomische Randbedingungen Halbleiterhersteller dazu, die Substratabmessungen ständig zu vergrößern, um damit auch die zur Herstellung der eigentlichen Halbleiterbauelemente verfügbaren Fläche zu vergrößern.
  • Zusätzlich zur Vergrößerung der Substratfläche ist es auch wichtig, die Ausnutzung der Substratfläche für eine vorgegebene Substratgröße zu optimieren, um damit tatsächlich so viel Substratfläche wie möglich für Halbleiterbauelemente und/oder Teststrukturen, die für die Prozesssteuerung eingesetzt werden, zu verwenden. In dem Versuch, den nutzbaren Oberflächenbereich für eine gegebene Substratgröße zu maximieren, werden die peripheren Chipbereiche möglichst nahe am Substratrand angeordnet, sofern dies mit Substrathantierungsprozessen kompatibel ist. Im Allgemeinen werden die meisten Fertigungsprozesse in einer automatisierten Weise ausgeführt, wobei die Substrathantierung an der Rückseite des Substrats und/oder am Substratrand erfolgt, der typischerweise eine Abschrägung zumindest an der Vorderseite des Substrats aufweist.
  • Auf Grund der ständigen Forderung zur Reduzierung der Strukturgrößen in modernsten Halbleiterbauelementen werden Kupfer und Legierungen davon in Verbindung mit dielektrischen Materialien mit kleinem ε häufig als Alternative bei der Herstellung von sogenannten Metallisierungsschichten eingesetzt, die Metallleitungen und Kontaktdurchführungen aufweisen, die die einzelnen Schaltungselemente verbinden, um damit die erforderliche Funktion der integrierten Schaltung zu ermöglichen. Obwohl Kupfer deutliche Vorteile im Vergleich zu Aluminium aufweist, das lange Zeit das typische Metallisierungsmetall war, sind Halbleiterhersteller zögerlich bei der Einführung von Kupfer bei der Herstellung auf Grund der Fähigkeit des Kupfers, leicht in Silizium und Siliziumdioxid zu diffundieren. Selbst in sehr geringen Mengen kann Kupfer die elektrischen Eigenschaften von Silizium und damit das Verhalten von Schaltungselementen, etwa Transistoren, und dergleichen deutlich verändern. Es ist daher wichtig, das Kupfer auf die Metallleitungen und Kontaktdurchführungen räumlich zu begrenzen, indem geeignete isolierende und leitende Barrierenschichten eingesetzt werden, um die Diffusion des Kupfers in sensible Bauteilgebiete deutlich zu unterdrücken. Des weiteren muss auch eine Kontamination von Prozessanlagen, etwa Transporteinrichtungen, Transportbehälter, Roboteranne, Scheibenauflagen und dergleichen deutlich eingeschränkt werden, da selbst geringe Mengen an Kupfer, die auf der Rückseite eines Substrats abgeschieden werden, zu einer Diffusion des Kupfers in sensible Bauteilbereiche führen kann.
  • Die Problematik der Kupferkontamination sowie anderer Bauteil- und Anlagenkontaminationen wird noch wichtiger, wenn dielektrische Materalien mit kleinem ε in Verbindung mit Kupfer zur Herstellung von Metallisierungsschichten eingesetzt werden, auf Grund der geringeren mechanischen Stabilität der Dielektrika mit kleinem ε. Da zumindest einige der Abscheideprozesse, die bei der Herstellung von Halbleitern eingesetzt werden, nicht in effizienter Weise auf den „aktiven" Substratbereich eingeschränkt werden können, wird auch ein Stapel aus Schichten oder Materialresten auch an dem Substratrandgebiet einschließlich der Abschrägung gebildet, wodurch ein mechanisch instabiler Schichtstapel auf Grund von Prozessungleichförmigkeiten an dem Substratrand und insbesondere an der Abschrägung des Substrats entsteht.
  • Insbesondere Dielektrika mit kleinem ε, die durch CVD (chemische Dampfabscheidung) hergestellt werden, neigen dazu, intensiver an dem schrägen Randgebiet im Vergleich zu dem aktiven Substratgebiet anzuhaften, wodurch sich eine größere Schichtdichte aufbaut, die bis zu der doppelten Schichtdicke des dielektrischen Materials in dem aktiven Gebiet reichen kann. Somit kann sich während der Herstellung mehrerer Metallisierungsschichten ein Schichtstapel an dem Abschrägungsgebiet aufbauen, der Barrierenmaterial, Kupfer und Dielektrika aufweist, die eine geringere Haftung zueinander aufweisen. Während der weiteren Bearbeitung und Substrathanthabungsprozesse kann sich Material, etwa Kupfer, Barrierenmaterial und/oder Dielektrika ablösen und merklich diese Prozesse beeinflussen, wodurch die Produktionsausbeute und die Anlagenintegrität negativ beeinflusst werden.
  • Beispielsweise wird bei der Herstellung einer auf Kupfer basierenden Metallisierungsschicht die sogenannte Damaszener-Technik gegenwärtig als bevorzugtes Fertigungsverfahren eingesetzt, um Metallleitungen und Kontaktdurchführungen herzustellen. Dazu wird eine dielektrische Schicht, die typischerweise ein Dielektrikum mit kleinem ε aufweist, abgeschieden und so strukturiert, dass diese Gräben und Kontaktlöcher gemäß den Entwurfserfordernissen aufweist. Danach wird eine leitende Barrierenschicht, die beispielsweise Tantal, Tantalnitrid, Titan, Titannitrid oder dergleichen aufweist, abgeschieden, wobei die Zusammensetzung der Barrierenschicht so festgelegt ist, dass diese auch die Haftung des Kupfers zu dem benachbarten Dielektrikum verbessert. Das Abscheiden der Barrierenschicht kann durch chemische Dampfabscheidung (CVD) oder physikalische Dampfabscheidung (PVD) erreicht werden, wobei ein Abscheiden des Barrierenmaterials nicht in effizienter Weise auf den aktiven Substratbereich mittels der aktuell etablierten Abscheidetechniken beschränkt werden kann. Folglich wird das Barrierenmaterial auch an der Substratabschrägung und teilweise auf der Rückseite des Substrats abgeschieden, wodurch in Verbindung mit den Resten des dielektrischen Materials, die nicht in effizienter Weise durch die vorhergehenden Ätzprozesse zur Strukturierung der dielektrischen Schicht entfernt werden können, ein Schichtstapel mit reduzierter mechanischer Stabilität gebildet wird. Danach wird gemäß einem standardgemäßen Damaszener-Prozessablauf eine dünne Kupfersaatschicht durch physikalische Dampfabscheidung oder ähnliche geeignete Prozesse aufgebracht, um einen nachfolgenden elektrochemischen Abscheideprozess in Gang zu setzen und zu fördern, um damit die Gräben und Kontaktlöcher, die in dem dielektrischen Material gebildet sind, zu füllen.
  • Obwohl Reaktorbehälter für die elektrische Abscheidung, etwa Elektroplattierungsreaktoren oder stromlose Plattierungsreaktoren so gestaltet sein können, dass im Wesentlichen kein Kupfer an dem Substratrand abgeschieden wird, kann der vorausgehende Prozess zur Saatschichtabscheidung dennoch zu einer merklichen Abscheidung unerwünschten Kupfers an dem Substratrandgebiet führen. Nach der elektrochemischen Abscheidung des Hauptanteils des Kupfers wird überschüssiges Material entfernt. Dies wird häufig durch chemisch-mechanisches Polieren (CMP) erreicht, wobei Materialteile, etwa Kupferstückchen, auf Grund der geringeren Stabilität des Metallisierungsschichtstapels insbesondere an der Substratabschrägung „abblättern" können. Die kupferenthaltenden Materialflocken und andere Materialteilchen, die aus dielektrischem Material und/oder Barrierenmaterial aufgebaut sind, und die beispielsweise während des CMP-Prozesses freigesetzt werden, können sich dann unerwünschterweise an Substratgebieten erneut absetzen oder können den CMP-Prozess nachfolgender Substrate beeinflussen. Während der weiteren Bearbeitung des Substrats kann eine Kontamination, die hauptsächlich durch das Ablösen an dem Substratrand hervorgerufen wird, auftreten und kann insbesondere den Prozessablauf nach der Ausbildung von Schaltungselementen, in welchem Kontaktflächen und Lothöcker hergestellt werden, beeinflussen.
  • Da Kontamination, die beispielsweise durch unerwünschtes Kupfer an dem Substratrand hervorgerufen wird, als eine dominierende Kontaminationsquelle erkannt wurde, werden große Anstrengungen unternommen, um Kupfer von dem Substratrand und der Abschrägung zu entfernen, ohne im Wesentlichen das Innere, d. h. aktive, Substratgebiete zu beeinflussen. Dazu wurden von den Halbleitergerätezulieferern, etwa Semitool, Inc, Novellus, Inc., und dergleichen, Ätzmodule entwickelt, die aufgebaut sind, um in selektiver Weise ein Mittel, das im Wesentlichen Schwefelsäure und Wasserstoffperoxid enthält, an dem Substratrand aufzubringen, um damit das unerwünschte Kupfer aus diesem Gebiet zu entfernen. Obwohl das Entfernen unerwünschten Kupfers von dem Substratrand die Gefahr der Kupferkontamination in nachfolgenden Prozessen verringert, zeigt es sich dennoch, dass eine merkliche Verringerung der Produktionsausbeute insbesondere in der Fertigungssequenz für höhere Metallisierungsschichten und in dem nachgeschalteten Prozessablauf zu beobachten ist.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf, eine verbesserte Technik bereitzustellen, um eines oder mehrere der zuvor erkannten Probleme zu vermeiden oder deren Auswirkungen zumindest zu reduzieren.
  • Überblick über die Erfindung
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die die Herstellung von Metallisierungsschichten von Halbleiterbauelementen und in anschaulichen Ausführungsformen von Halbleiterbauelementen mit kupferbasierten Metallleitungen, die in ein dielektrisches Material mit kleinem ε eingebettet sind, bei einer reduzierten Wahrscheinlichkeit für eine Substrat- und/oder Anlagenkontamination ermöglicht. Zu diesem Zweck wird eine zusätzliche Ätzstoppschicht an dem Abschrägungsgebiet des Substrats vor der Herstellung einer Metallisierungsstruktur geschaffen, um damit eine Verringerung des Ablösens von dielektrischem Material und/oder metallischem Material während der Herstellung einer Metallisierungsschicht zu ermöglichen, indem zumindest das dielektrische Material im Wesentlichen vollständig aus dem Abschrägungsgebiet des Substrats entfernt wird. Ferner können auch weitere unerwünschte Materialien, die während der weiteren Bearbeitung des Substrats erzeugt werden, ebenso effizient durch einen räumlich selektiven Abschrägungsätzprozess entfernt werden, ohne dass im Wesentlichen das Substratmaterial freigelegt wird. Somit kann Barrierenmaterial oder Metall effizient entfernt werden. Auf diese Weise kann die Ausbildung eines mechanisch instabilen dielektrischen Schichtstapels in dem Abschrägungsgebiet des Substrats im Wesentlichen vermieden werden. In einigen anschaulichen Ausführungsformen wird die Ätzstoppschicht selektiv an der Abschrägung in einem frühen Fertigungsstadium gebildet, wodurch das Entfernen unerwünschter Materialien im Wesentlichen über die gesamte Fertigungsphase hinweg in einer äußerst kompatiblen Weise möglich ist.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das selektive Bilden einer ersten Ätzstopppschicht über einem Abschrägungsgebiets eines Substrats, wobei das Substrat ein zentrales Gebiet benachbart zu dem Abschrägungsgebiet zur Aufnahme von Schaltungselementen aufweist. Das Verfahren umfasst ferner das Bilden eines dielektrischen Schichtstapels für eine Metallisierungsschicht über dem Substrat. Ferner wird ein Teil mindestens einer Schicht des dielektrischen Schichtstapels aus dem Abschrägungsgebiet durch selektives Aufbringen eines Ätzmittels auf das Abschrägungsgebiet entfernt, wobei die erste Ätzstoppschicht zur Reduzierung eines Ätzschadens des Substrats verwendet wird. Schließlich wird der dielektrische Schichtstapel in dem zentralen Gebiet strukturiert, um ein Kontaktloch und/oder einen Graben zu erhalten.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer Ätzstoppschicht über einem Abschrägungsgebiet und einem zentralen Gebiet eines Substrats, wobei das zentrale Gebiet ausgebildet ist, um darin ein Transistorelement zu bilden. Das Verfahren umfasst ferner das selektive Entfernen der Ätzstoppschicht aus dem zentralen Gebiet, während die Ätzstoppschicht in dem Abschrägungsgebiet beibehalten wird, und das selektive Entfernen von Material aus dem Abschrägungsgebiet unter Anwendung der Ätzstoppschicht als eine Schutzschicht.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a schematisch eine Draufsicht auf ein Substrat mit einem „aktiven" Gebiet oder einem Bauteilgebiet zeigt, das benachbart zu einem Randgebiet angeordnet ist, was eine Abschrägung aufweist; und
  • 1b bis 1h schematisch Querschnittsansichten eines Teils des Substrats aus 1a zeigen, wobei diverse Fertigungsphasen während der Herstellung der Metallisierungsschicht mit Entfernen eines unerwünschten dielektrischen Materials von einem Randgebiet auf der Grundlage einer Ätzstoppschicht gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung gezeigt sind.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die die Herstellung von Schaltungselementen in einem Bauteilgebiet eines Substrats mit einer deutlich reduzierten Wahrscheinlichkeit einer Bauteil- und Anlagenkontamination ermöglicht, wenn die Bauteile eine komplexe Metallisierungsstruktur mit einer oder mehreren Metallisierungsschichten auf der Grundlage eines Dielektrikums mit kleinem ε aufweisen. Es wird angenommen, dass die Ausbildung mechanisch instabiler dielektrischer Schichtstapel an der Abschrägung des Substrats deutlich zur Gesamtkontamination und damit Defektrate in dieser Fertigungsphase und in nachfolgenden Prozessen beitragen kann. Durch Vorsehen einer geeigneten Ätzstoppschicht selektiv in dem Abschrägungsgebiet kann das selektive Entfernen dielektrischer Reste und Metallreste von der Substratabschrägung, die durch die anisotropen Ätzprozesse nicht vollständig entfernt werden können, die zum Strukturieren des Metallisierungsschichtstapels eingesetzt werden, deutlich die Gefahr des „Abblätterns" des dielektrischen und metallischen Materials während der weiteren Bearbeitung des Substrats verringern. Es sollte beachtet werden, dass die vorliegende Erfindung besonders vorteilhaft im Zusammenhang mit Metallisierungsschichten ist, die Kupfer und Kupferlegierungen in Verbindung mit dielektrischen Materialien mit kleinem ε enthalten, da, wie zuvor erläutert ist, während des Abscheidens der dielektrischen Materialien mit kleinem ε, die an sich eine reduzierte mechanische Stabilität und Haftung im Vergleich zu konventionellen dielektrischen Materialien, etwa Siliziumdioxid, Siliziumnitrid, und dergleichen aufweisen, eine größere Schichtdicke an dem Abschrägungsgebiet erzeugt wird, wodurch die Gefahr der Materialablösung während nachfolgender Substrathantierungs- und Fertigungsprozesse noch stärker erhöht wird. Die vorliegende Erfindung kann jedoch auch in Kombination mit anderen Fertigungs- und Metallisierungsschemata eingesetzt werden, da die selektiv gebildete Ätzstoppschicht während eines beliebigen geeigneten Fertigungsstadiums geschaffen werden kann, wodurch die Produktionsausbeute auf Grund der deutlichen Reduzierung von Teilchen, die bei Substrathantierungsprozesse, CMP(chemisch-mechanische Polier-)Prozesse, und dergleichen erzeugt werden, verbessert wird. Sofern somit nicht in der Beschreibung und in den angefügten Patentansprüchen explizit darauf hingewiesen wird, soll die vorliegende Erfindung nicht auf eine spezielle Materialzusammensetzung der dielektrischen Materialien und der leitenden Materialien eingeschränkt werden, die für die Herstellung von Metallisierungsschichten verwendet werden.
  • Mit Bezug zu den 1a bis 1h werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 1a zeigt schematisch ein Substrat 100 mit einer Vorderseite 101, auf der Schaltungselemente, etwa Transistoren, hergestellt werden können, und mit einer Rückseite 102, die häufig mit entsprechenden Substrathaltern während des Transports und der Bearbeitung des Substrats 100 in Kontakt ist. Die Vorderseite 101 des Substrats 100 kann in ein „aktives" oder zentrales Gebiet 104, in welchem die Vielzahl der einzelnen Chips anzuordnen sind, und in ein Randgebiet 103 unterteilt werden, das nicht für die Herstellung von Schaltungselementen auf Grund der Prozessungleichförmigkeiten, der Substrathantierungsanforderungen, und dergleichen verwendet wird, wobei insbesondere Abscheideungleichförmigkeiten in der Nähe des Substratsrands auftreten können, der typischerweise eine Abschrägung 105 enthält, wie dies detaillierter mit Bezug zu 1b beschrieben ist. Die Größe des Randgebiets 103 und damit des zentralen Gebiets 104 hängt von der Steuerbarkeit der bei der Fertigung von Schaltungselementen in und auf dem zentralen Gebiet 104 beteiligten Prozesse, der Konfiguration der Transporteinrichtungen, die zum Halten und Transportieren des Substrats 100 zwischen aufeinanderfolgenden Prozessen eingesetzt werden, und dergleichen ab. Wünschenswerterweise wird die Größe des Randgebiets 103 möglichst klein gehalten, um möglichst viele Chipbereiche auf dem zentralen Gebiet 104 anordnen zu können. Gegenwärtig sind 200 mm und 300 mm typische Durchmesser von Substraten, die in modernen Halbleiterfertigungsstätten verwendet werden, wobei eine Breite D des Randbereichs 103 im Bereich von ungefähr 1 bis 5 mm liegen kann.
  • 1b zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 150 mit einem Teil des Substrats 100, wobei das Randgebiet 103 die Abschrägung 105 aufweist. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen in dieser Fertigungsphase das Substrat 100 darauf und darin ausgebildet eine Vielzahl von Schaltungselementen aufweisen kann, die in dem zentralen Gebiet 104 angeordnet sind, wobei der Einfachheit halber derartige Schaltungselemente nicht gezeigt sind. In noch anderen Ausführungsformen kann sich das Bauelement in einer frühen Fertigungsphase befinden, in der Schaltungselemente, etwa ein Transistor, noch nicht in dem zentralen Gebiet fertiggestellt sind. In einer Ausführungsform befindet sich das Bauelement 150 in einem Zustand, in welchem Materialschichten, wie sie für die Herstellung von Schaltungselementen in dem zentralen Gebiet 104 erforderlich sind, noch nicht gebildet oder abgeschieden sind.
  • Ferner kann ein Schichtstapel 130 über dem Substrat 100 gebildet sein, der auch in dem Randgebiet 103 und der Abschrägung 105 ausgebildet ist. Der Schichtstapel 130 kann eine Ätzstoppschicht 132 und eine Maskenschicht 131 umfassen. In einer anschaulichen Ausführungsform weist die Maskenschicht 131 ein dielektrisches Material mit kleinem ε auf, das die Eigenschaft aufweist, ein äußerst ungleichförmiges Abscheideverhalten in Bezug auf das zentrale Gebiet 104 und die Abschrägung 105 zu besitzen, wenn es durch CVD-Verfahren aufgebracht wird, wie dies zuvor erläutert ist. In dieser Hinsicht wird ein dielektrisches Material mit kleinem ε als ein isolierendes Material mit einer relativen Permittivität von 3,0 oder weniger verstanden. In einer speziellen Ausführungsform umfasst die Maskenschicht 131 ein Material mit Silizium, Kohlenstoff, Sauerstoff und Wasserstoff, das durch die Formel SiCOH repräsentiert ist. In einer anschaulichen Ausführungsform weist die Maskenschicht 131 einen Bereich mit erhöhter Dicke 131a an der Abschrägung 105 auf, während in anderen Ausführungsformen der Bereich 131a zusätzlich oder alternativ zu der größeren Dicke eine modifizierte Struktur im Vergleich zu dem zentralen Gebiet 104 besitzen kann, wobei die modifizierte Struktur in dem Bereich 131a zu einer reduzierten Ätzrate in Bezug auf ein spezifiziertes Ätzrezept führt. Des weiteren kann die Ätzstoppschicht 132 so ausgewählt sein, dass diese eine hohe Ätzselektivität in Bezug auf eine Vielzahl von Materialien, etwa Materialien mit kleinem ε, ein Barrierenmaterial, Metall, etwa Kupfer, und dergleichen in Bezug auf einen nasschemischen selektiven Ätzprozess an der Abschrägung aufweist, wie dies nachfolgend detaillierter beschrieben ist. In einer anschaulichen Ausführungsform ist die Ätzstoppschicht 132 aus Siliziumkarbid aufgebaut, das eine hohe Ätzresistenz gegenüber einer Vielzahl nasschemischer Ätzmittel aufweist. Es sollte beachtet werden, dass der Schichtstapel 130 zusätzliche Schichten aufweisen kann, wie dies nachfolgend beschrieben ist.
  • Das Halbleiterbauelement 150, wie es in 1b gezeigt ist, kann gemäß den folgenden Prozessen hergestellt werden. Vor oder nach oder zwischen der Herstellung von Schaltungselementen in dem zentralen Gebiet 104 gemäß gut etablierter Verfahren wird der Schichtstapel 130 durch Abscheiden der Ätzstoppschicht 132 gebildet. Das Abscheiden der Ätzstoppschicht 132 kann auf der Grundlage gut etablierter plasmaunterstützter CVD-(chemische Dampfabscheide-)Techniken ausgeführt werden, wobei für gewöhnlich auch Material in dem Randgebiet 103 und auf der Abschrägung 105 abgeschieden wird. Ferner kann in vielen Abscheideverfahren, etwa CVD, Sputter-Abscheidung, und dergleichen das Abscheiden von Material nicht zuverlässig auf einn gewünschten Substratbereich eingeschränkt werden, ohne dass andere negative Auswirkungen insbesondere für moderne Halbleiterbauelemente auftreten. In der vorliegenden Erfindung wird dieses üblicherweise unerwünschte Verhalten vorteilhaft zur Herstellung der Ätzstoppschicht 132 ausgenutzt, um im Wesentlichen vollständig die Abschrägung 105 zu bedecken. Danach wird die Maskenschicht 131 durch eine beliebige geeignete Abscheidtechnik aufgebraucht, wobei in einer anschaulichen Ausführungsform die Maskenschicht 131 durch plasmaunterstütztes CVD gebildet wird, wobei zumindest ein Bereich der Maskenschicht 131 aus einem dielektrischen Material mit kleinem ε gebildet ist. Es zeigt sich, dass insbesondere während der plasmabasierten Abscheidung von dielektrischen Materialien mit kleinem ε eine erhöhte Abscheiderate an der Abschrägung 105 erreicht wird, wodurch der Bereich 131a mit einer vergrößerten Schichtdicke im Vergleich zu der Dicke der Schicht 131 in dem zentralen Gebiet 104 erzeugt wird. Wie zuvor erläutert ist, kann dieser vergrößerte Bereich 131a, der auch gebildet wird, wenn ein entsprechendes Zwischenschichtdielektrikum für eine Metallisierungsschicht vorgesehen wird, in zuverlässiger Weise während eines nachfolgenden konventionellen Ätzprozesses entfernt werden. Diese Abscheide- und Ätzverhalten, das äußert unvorteilhaft während der Herstellung einer Metallisierungsschicht ist, kann ausgenutzt werden, um eine Ätzmaske für die nachfolgende selektive Entfernung der Ätzstoppschicht 132 in dem zentralen Gebiet 104 zu bilden. Somit wird nach dem Abscheiden der Maskenschicht 131 ein Ätzprozess 133 ausgeführt, um die Maskenschicht 131 in dem zentralen Gebiet 104 zu entfernen, während ein wesentlicher Teil des Bereichs 131a über der Abschrägung 105 beibehalten wird. Der Ätzprozess 133 kann ein beliebiger geeigneter Prozess sein, etwa ein nasschemischer Prozess oder ein Trockenätzprozess mit einer hohen Selektivität zwischen der Ätzstoppschicht 132 und der Maskenschicht 131. Geeignete selektive Rezepte für eine Vielzahl von Materialkombinationen sind im Stand der Technik bekannt. Beispielsweise sind selektive Ätzprozesse für SICOH und Siliziumkarbid gut bekannt.
  • 1c zeigt schematisch das Halbleiterbauelement 150 nach dem Ende des zuvor beschriebenen Ätzprozesses 133. Somit wird ein Rest 131r der dielektrischen Schicht 131 in einem Bereich des Randgebiets 103 und insbesondere über der Abschrägung 105 gebildet. Es sollte beachtet werden, dass die Abmessungen des Rests 131r von der Überschussdicke des vergrößerten Bereichs 131 (siehe 1b) sowie von Prozessparametern des Ätzprozesses 133 abhängen. Folglich kann der Rest 131r als eine Ätzmaske in einem nachfolgenden Ätzprozess 134 zum Entfernen der Ätzstoppschicht 132 von dem zentralen Gebiet 104 dienen. Der Ätzprozess 134 kann entsprechend den Gegebenheiten der Materialien des Rests 131r und der Ätzstoppschicht 132 so ausgewählt sein, dass der zentrale Bereich der Ätzstoppschicht entfernt wird, während zumindest ein Teil der Ätzstoppschicht 132 über der Abschrägung 105 zuverlässig bewahrt bleibt. Beispielsweise sind effiziente anisotrope Ätzrezepte für das Entfernen von Siliziumkarbid im Stand der Technik gut etabliert, wobei Prozessparameter so eingestellt werden können, dass das Entfernen des freiliegenden Teils der Ätzstoppschicht 132 über dem zentralen Gebiet 104 beendet ist, bevor eine wesentliche Materialerosion der Schicht 132 über der Abschrägung 105 auftritt. In einer anschaulichen Ausführungsform wird die Selektivität des Ätzrezepts in Bezug auf die Materialien der Schicht 132 und des Rests 132r so eingestellt, dass der Rest 131r und die Ätzstoppschicht 132 in dem zentralen Gebiet 104 im Wesentlichen vollständig entfernt werden, während die Abschrägung 105 bedeckt bleibt. Eine entsprechende Ätzselektivität kann auf der Grundlage von Experimenten zum Abschätzen der Ätzraten für die Materialien der Schichten 131 und 132 für unterschiedlich ausgewählte Ätzparameter ermittelt werden. In noch anderen Ausführungsformen wird ein räumlich selektiver Ätzprozess nach dem Ätzprozess 134 ausgeführt, um den Rest 131r zu entfernen, wenn der Ätzprozess 134 eine hohe Selektivität in Bezug auf den Rest 131r besitzt. In einem entsprechenden Ätzschema zum selektiven Entfernen des Rests 131r durch einen Ätzprozess für Abschrägungen wird später beschrieben. Zu diesem Zweck können im Wesentlichen die gleichen Prozesse eingesetzt werden, wie sie auch zum Entfernen unerwünschten dielektrischen Materials und/oder Barrierenmaterials und/oder Metallmaterials während der Herstellung einer Metallisierungsschicht angewendet werden, wobei der verbleibende Bereich der Ätzstoppschicht 132 die Abschrägung 105 schützt.
  • 1g zeigt schematisch das Bauelement 150 nach dem Ende des oben beschriebenen Ätzprozesses 134 zum Entfernen des zentralen Bereichs der Ätzstoppschicht 132 und des Rests 131r. Somit ist die Abschrägung 105 des Substrats 100 durch eine restliche Ätzstoppschicht 132r bedeckt, die als ein zuverlässiger Ätzstopp für nachfolgende Ätzprozesse an der Abschrägung dient, wie dies nachfolgend beschrieben ist.
  • 1i zeigt schematisch das Bauelement 150 gemäß weiterer Ausführungsformen, in denen zusätzlich oder alternativ die Maskenschicht 131 so behandelt wird, dass ihre Ätzrate in dem zentralen Gebiet 104 im Vergleich zu der Abschrägung 105 erhöht ist. In der gezeigten Ausführungsform wird die Maskenschicht 131, die an der Abschrägung 105 eine erhöhte Dicke aufweisen kann oder auch nicht, einem Ionenimplantationsprozess 135 auf der Grundlage einer geeigneten Ionengattung, etwa Xenon, und dergleichen unterzogen, um damit in deutlicher Weise die innere Struktur der Schicht 131 zu ändern. In einer Ausführungsform kann der im Wesentlichen „selbstjustierte" Charakter des Implantationsprozesses 135 die Schicht 131 in horizontalen Substratbereichen effizienter als in geneigten Substratbereichen, etwa der Abschrägung 105 ändern, da hier die mittlere Dicke der Schicht, die von den eintreffenden Ionen „gesehen" wird, im Vergleich zu horizontalen Substratbereichen größer ist. Folglich wird die Schichtstruktur bis zu einer geringeren Dicke in der Abschrägung 105 im Vergleich zur Eindringtiefe in dem zentralen Gebiet 104 geschädigt. Somit kann sich das Ätzverhalten der Schicht 131 in dem zentralen Gebiet 104 deutlich von jenem in der Abschrägung 105 unterscheiden. Folglich wird in einem nachfolgenden Ätzprozess, etwa dem Prozess 133, wie er zuvor beschrieben ist, das Material in dem zentralen Gebiet 104 im Vergleich zu der Abschrägung rascher abgetragen. Somit wird der entsprechende Rest 131r an der Abschrägung 105 gebildet, der dann als eine Ätzmaske für die Strukturierung der Ätzstoppschicht 132 dienen kann. Die weitere Bearbeitung kann dann in der mit Bezug zu 1d beschriebenen Weise fortgesetzt werden. In einer noch weiteren anschaulichen Ausführungsform wird die räumliche Selektivität des Ionenimplantationsprozesses 135 durch Vorsehen einer abschattenden Maske 136 verstärkt, die geeignet positioniert ist, um die Anzahl der an der Abschrägung 105 eintreffenden Ionen deutlich zu reduzieren. Somit kann ein ausgeprägterer Unterschied in der Strukturmodifizierung erreicht werden, wodurch die Selektivität in dem nachfolgenden Ätzprozess 133 verbessert wird. Das Vorsehen der Implantationsmaske 136, was durch entsprechendes Positionieren eines beliebigen geeigneten Materials in dem Ionenstrahl bewerkstelligt werden kann, kann die Lage eines Übergangsgebiets 103a zwischen einem stark modifizierten Schichtbereich und einem im Wesentlichen nicht modifizierten Schichtbereich selbst innerhalb des Randgebiets 103 festgelegt werden, wodurch die Möglichkeit geschaffen wird, die Ätzstoppschicht 131 selbst auf horizontalen Substratbereichen zu bilden, um damit die Prozessgrenzen für ein nachfolgendes nicht selektives Ätzverfahren für die Abschrägung zu erhöhen. In einigen anschaulichen Ausführungsformen wird, wenn durch Implantation hervorgerufene Schäden an dem Substrat 100 tolerierbar sind, der Ionenimplantationsprozess 135 auf der Grundlage der Maske 136 ausgeführt, um direkt die Struktur der Ätzstoppschicht 132 ohne Vorsehen der Maske 131 zu modifizieren. In dem nachfolgenden Ätzprozess 133 wird der nicht modifizierte oder weniger modifizierte Schichtbereich im Wesentlichen an der Abschrägung 105 beibehalten.
  • 1f zeigt schematisch das Bauelement 150 gemäß einer weiteren anschaulichen Ausführungsform, in der die Maskenschicht 131 selektiv an der Abschrägung 105 durch selektives Zuführen eines viskosen Materials, etwa Photolack, Polymermaterialien, und dergleichen mittels einer geeigneten Prozessanlage, wie sie auch für einen räumlich selektiven Ätzprozess an der Abschrägung eingesetzt wird. Danach kann die Ätzstoppschicht 132 in ähnlicher Weise strukturiert werden, wie dies zuvor beschrieben ist. Abhängig von der Fähigkeit der entsprechenden Prozessanlage kann die Maskenschicht 131 an einer beliebig gewünschten Position innerhalb des Randgebiets 104 positioniert werden, so dass die Ätzstoppschicht 132 nach der Strukturierung auch an horizontalen Substratbereichen vorgesehen sein kann, wodurch die Prozessgrenzen verbessert werden.
  • 1g zeigt schematisch das Halbleiterbauelement 150 in einer weiter fortgeschrittenen Fertigungsphase, in der Schaltungselemente, etwa Transistoren (nicht gezeigt) fertiggestellt sein können, und eine Metallisierungsstruktur über dem Substrat 100 gebildet ist. Somit weist das Bauelement 150 einen dielektrischen Schichtstapel 140 mit einer zweiten Ätzstoppschicht 142 und einer dielektrischen Schicht 141 auf, die aus einem beliebigen geeigneten Material aufgebaut ist, das als ein Zwischenschichtdielektrikum dienen kann. In einer anschaulichen Ausführungsform umfasst die Schicht 141 ein Material mit kleinem ε. In der gezeigten Ausführungsform ist die Schicht 141 so strukturiert, dass diese darin ausgebildet einen Graben und/oder eine Kontaktdurchführung 143 zur Herstellung einer Verbindungsstruktur aufweist, während in anderen Ausführungsformen der Schichtstapel 140 zu dieser Fertigungsphase noch nicht strukturiert ist. In diesem Falle kann ein vergrößerter Bereich ausgebildet sein, wie dies auch in ähnlicher Weise in 1a im Zusammenhang mit der Schicht 131 erläutert ist. In der gezeigten Ausführungsform kann die Strukturierung der Schicht 141 durch einen anisotropen Ätzprozess 144 zu einem Rest 141r des zuvor gebildeten vergrößerten Bereichs führen, wie dies zuvor erläutert ist. Im Gegensatz zu konventionellen Verfahren ist jedoch die Ätzstoppschicht 132r als eine untere Schicht des Stapels 140 vorgesehen, wodurch eine zuverlässige Entfernung der Materialien des Stapels 140 zu einer beliebigen gewünschten Zeit des Fertigungsprozesses möglich ist. Somit werden in einer Ausführungsform die Materialien des Stapels 140 vor dem Ätzprozess 144 (nicht gezeigt) entfernt, wobei in anderen Ausführungsformen das Entfernen nach der Strukturierung der Schicht 141 oder nach der Strukturierung der Ätzstoppschicht 142 oder in einer späteren Phase ausgeführt wird, wenn ein Barrierenmaterial auf dem strukturierten Stapel 140 gebildet wird.
  • Zu diesem Zweck wird das Bauelement 150 einem räumlich selektiven Ätzprozess 130 für die Abschrägung unterzogen, in welchem ein Ätzmittel 121 an dem Abschrägungsgebiet 105 in einer räumlich sehr beschränkten Weise aufgebracht wird, so dass Schaltungsstrukturelemente im Wesentlichen durch den Ätzprozess 120 nicht beeinflusst sind. Dazu wird das Substrat 100 auf einer Substrathalterung 122 eines geeigneten Ätzmoduls (nicht gezeigt) angeordnet, das ausgebildet ist, auf Basis einzelner Substrate zu arbeiten und selektiv das Ätzmittel 121 zuzuführen, etwa mittels einer Düse 123. Die Düse 123 und die Substrathalterung 132 sind ausgebildet, das Substrat 100 mit einer geeigneten Geschwindigkeit zu halten und in Drehung zu versetzen, um damit eine Zentrifugalkraft auf das Ätzmittel 121 auszuüben, wodurch der Kontakt des Ätzmittels 121 mit Material, das in und über dem zentralen Gebiet 104 angeordnet ist, im Wesentlichen vermieden wird. Ein geeignetes Ätzmodul, das das selektive Aufbringen des Ätzmittels 121 ermöglicht, ist beispielsweise von Semitool, Inc. unter der Handelsbezeichnung „Capsule" erhältlich. Es sollte jedoch beachtet werden, dass die vorliegende Erfindung nicht auf eine spezielle Ätzanlage beschränkt ist und mit jeder geeigneten Ätzanlage verwirklicht werden kann, die das äußerst selektive Aufbringen des Ätzmittels 121 ermöglicht. In einer anschaulichen Ausführungsform weist das Ätzmittel 121 eine Ätzchemie auf, die ein hohe Ätzselektivität in Bezug auf das Material der Ätzstoppschicht 132r besitzt, so dass ein äußerst selektives Entfernen des Rests 141r möglich ist, während die Ätzstoppschicht 132r im Wesentlichen nicht beeinflusst wird. Entsprechende isotrope Ätzchemikalien mit hoher Selektivität sind im Stand der Technik bekannt. In einer anschaulichen Ausführungsform enthält das Ätzmittel 121 eine wässrige Lösung aus Flusssäure (HF) und/oder Salpetersäure (HNO3). Dabei wird das Verhältnis aus deionisiertem Wasser, der Flusssäure und/oder der Salpetersäure entsprechend der gewünschten Ätzrate eingestellt, wobei eine entsprechende geeignete Zusammensetzung auf der Grundlage experimenteller Daten und dergleichen bestimmt werden kann. Durch das Vorsehen der Ätzstoppschicht 132r tritt ein nur geringer oder kein Abtrag des Materials von den Bereichen des Substrats 100, die dem Ätzprozess 120 ausgesetzt sind, auf. Wie ferner erläutert ist, hängen die Abmessungen des Rests 141r von den Prozesseigenschaften der vorhergehenden Prozesse ab, und somit können die Prozessparameter für den Ätzprozess 120 auf der Grundlage der vorhergehenden Prozesse eingestellt werden, ohne dass im Wesentlichen nachteilige Auswirkungen des Ätzmittels 121 auf das Substrat 100 auftreten. Die Öffnung 143 kann eine Kontaktlochöffnung oder einen Graben für eine Metallisierungsschicht repräsentieren, der gemäß einer sogenannten Einzel-Damaszener-Technik hergestellt ist. Folglich kann der weitere Fertigungsprozess fortgesetzt werden, indem eine oder mehrere Barrieren- und/oder Haftschichten abgeschieden werden, woran sich eine optionale Saatschicht und das Aufbringen eines geeigneten Metallisierungsmetalls anschließen, das in anschaulichen Ausführungsformen Kupfer oder Kupferlegierungen aufweist. Auf Grund der im Wesentlichen vollständigen Entfernung des dielektrischen Schichtstapels in dem Randgebiet 103 mit dem Abschrägungsgebiet 105 ist die Wahrscheinlichkeit von Kontamination und Defekten während der weiteren Prozessschritte deutlich reduziert, wie dies auch zuvor erläutert ist.
  • 1h zeigt schematisch das Halbleiterbauelement 150 in einem weiter fortgeschrittenen Herstellungsstadium, das auf einer beliebigen der zuvor beschriebenen Ausführungsformen beruhen kann. Das Bauelement 150 weist eine Barrierenschicht 160 auf, die auf dem strukturierten dielektrischen Schichtstapel 140 und auf freiliegenden Bereichen des Randgebiets 103 einschließlich der Abschrägung 105 gebildet ist. Die Barrierenschicht 160 kann ein beliebiges geeignetes Material aufweisen, etwa Titan, Tantal, Titannitrid, Tantalnitrid, und dergleichen, das für die erforderlichen haft- und diffusionsblockierenden Eigenschaften sorgt. In einer anschaulichen Ausführungsform umfasst die Barrierenschicht 160 Tantal und in einigen Ausführungsformen weist diese eine oder mehrere Unterschichten auf mit beispielsweise Tantalnitrid, um damit eine höhere Effizienz für die Haftung und die Kupferblockierung bereitzustellen. Eine Saatschicht 161 ist über der Barrierenschicht 160 ausgebildet und weist ein Material auf, das die Abscheideeffizienz eines nachfolgenden elektrochemischen Abscheideverfahrens, etwa Elektroplattieren, verbessert. Beispielsweise kann die Saatschicht 161 aus Kupfer aufgebaut sein, um die Stromleieffizienz in einem nachfolgenden Elektroplattierungsprozess zu verbessern. Eine Metallschicht 162, die in einer anschaulichen Ausführungsform Kupfer oder eine Legierung davon aufweist, ist zumindest über dem zentralen Gebiet 104 gebildet.
  • Das Bauelement 150, wie es in 1h gezeigt ist, kann gemäß dem folgenden Prozessablauf hergestellt werden. Nach der Strukturierung des dielektrischen Schichtstapels 140 wird die Barrierenschicht 160 beispielsweise durch Sputter-Abscheidung, CVD, Atomlagendeposition (ALD) und dergleichen gebildet, wobei geeignete Reinigungsprozesse vor der eigentlichen Abscheidung ausgeführt werden können, um Kontaminationsstoffe von freiliegenden Bereichen von Kontakt- und Metallgebieten in dem zentralen Gebiet 104 und in dem Randgebiet 103 zu entfernen. Beispielsweise können gut etablierte Prozessrezepte für die Sputter-Abscheidung von Tantal, Tantalnitrid, Titan, Titannitrid und dergleichen eingesetzt werden, um die Barrierenschicht 160 mit der geeigneten Zusammensetzung und Dicke zu bilden. Wie zuvor erläutert ist, können viele Abscheideverfahren nicht in effizienter Weise auf das Abscheiden in dem zentralen Gebiet 104 beschränkt werden, und somit wird für gewöhnlich die Barrierenschicht 160 auch auf dem Randgebiet 103 einschließlich der Abschrägung 105 aufgebracht. Danach wird die Saatschicht 161 beispielsweise durch gut etablierte Sputter-Abscheideverfahren, einem stromlosen Plattierungsprozess, und dergleichen aufgebracht. Anschließend wird die Metallschicht 162 durch eine elektrochemische Abscheidetechnik, etwa Elektroplattieren oder stromloses Plattieren gebildet, wobei abhängig von der Anlagenkonfiguration das Abscheiden der Metallschicht 162 im Wesentlichen auf das zentrale Gebiet 104 beschränkt sein kann, so dass zumindest ein Teil des Randgebiets 103 und der Abschrägung 105 die wesentlichen Menge des Metalls, etwa Kupfer oder Kupferlegierungen, der Schicht 162 nicht erhalten. Jedoch können geringe Mengen an Kupfer dennoch in dem Randgebiet 103 und der Abschrägung 105 aufgebracht sein, wobei die Barrierenschicht 160 eine unerwünschte Kupferdiffusion in die darunterliegenden Bauteilgebiete verhindert. Nach dem Abscheiden der Metallschicht 162 wird das Bauelement 150 gemäß einer Ausführungsform einem weiteren nasschemischen Ätzprozess 125 unterzogen, während welchem ein Ätzmittel 126 an der Abschrägung 105 in einer räumlich äußerst beschränkten Weise aufgebracht wird, so dass Material in dem zentralen Gebiet 104 im Wesentlichen nicht durch den Ätzprozess 125 beeinflusst wird. Zu diesem Zweck können im Wesentlichen die gleichen Prozessanlagen eingesetzt werden, wie sie zuvor mit Bezug zu dem Ätzprozess 120 beschrieben sind. Somit kann eine beliebige geeignete Ätzanlage, die zur Bearbeitung von Substraten auf individueller Basis ausgebildet ist und die Düse 123 aufweist, verwendet werden, um in lokal selektiver Weise das Ätzmittel 126 aufzubringen, ohne dass im Wesentlichen das zentrale Gebiet 104 beeinflusst wird. Der Ätzprozess 125 kann so gestaltet sein, das Reste der Metallschicht 162, etwa Kupfer und Kupferlegierungen, in zuverlässiger Weise entfernt werden und dass auch die Saatschicht 161 und die Barrierenschicht 160 entfernt werden. Zu diesem Zweck ist das Ätzmittel 126 in einer anschaulichen Ausführungsform aus einer wässrigen Mischung aus Flusssäure (HF) und Salpetersäure (HNO3) aufgebaut, wobei deren Verhältnis auf der Grundlage der Materialien eingestellt wird, die in den Schichten 162, 161 und 160 enthalten sind. Beispielsweise wird Kupfer und Tantal häufig in modernen Metallisierungsschichten eingesetzt. In einigen Ausführungsformen kann der Ätzprozess 125 mehrere unterschiedliche Ätzschritte aufweisen, etwa einen Schritt auf der Grundlage eines Ätzrezepts mit einer Mischung aus schwefeliger Säure und Wasserstoffperoxid, um eine effiziente Entfernung von Kupfer zu ermöglichen, ohne dass im Wesentlichen äußerst toxische Stickoxide erzeugt werden. Danach kann ein zweiter Ätzschritt auf der Grundlage der zuvor genannten Chemikalien Flusssäure und Salpetersäure ausgeführt werden, um effizient die Barrierenschicht 160 zu entfernen. Danach wird die weitere Bearbeitung des Bauelements 150 fortgesetzt durch beispielsweise Entfernen überschüssigen Materials der Schicht 162 und der Saatschicht 161 und der Barrierenschicht 160, wodurch eine elektrisch separate leitende Kontaktdurchführung oder Leitung in Form der metallgefüllten Öffnung 143 geschaffen wird. Auf Grund des Entfernens dielektrischer Materialien in der Abschrägung 105 auf der Grundlage der Ätzstoppschicht 132r wird in der weiteren Bearbeitung des Bauelements 150 während des Entfernens überschüssigen Materials, was durch CMP bewerkstelligt werden kann, die Wahrscheinlichkeit des Auftretens einer erhöhten Defektrate und einer merklichen Anlagenkontamination reduziert. Auf Grund des Entfernens von Kupfer und Kupferlegierungen sowie der Saatschicht 161 und der Barrierenschicht 160 insbesondere in dem kritischen Gebiet der Abschrägung 105 wird die Gefahr der Materialablösung während der weiteren Bearbeitung des Bauelements 150 und insbesondere während der Herstellung nachfolgender Metallisierungsschichten noch mehr reduziert, während eine Schädigung des Substrats 100 oder von Schichten, die zwischen dem Substrat 100 und der Ätzstoppschicht 132r gebildet sind, deutlich reduziert ist. Da ferner die Substrathandhabung und die Bearbeitung des Bauelements 150 weniger kritisch im Vergleich zu einem konventionellen Prozessablauf ist, kann die Breite D (siehe 1a) verringert werden und kann daher nur durch Substrathantierungserfordernisse anstatt im Hinblick auf Kontaminations- und Defektkriterien festgelegt werden. Auf diese Weise kann die für die Herstellung von Schaltungselementen verfügbare Fläche vergrößert werden.
  • Es sollte beachtet werden, dass die vorliegende Erfindung nicht auf ein spezielles Metallisierungsschema eingeschränkt ist. Beispielsweise kann anstatt der zuvor beschriebenen Einzel-Damaszener-Technik eine duale Damaszener-Technik angewendet werden. Obwohl die zuverlässige Entfernung von Material von der Abschrägung auf der Grundlage des Ätzprozesses für die Abschrägung einschließlich der Ätzstoppschicht 132r äußerst vorteilhaft im Zusammenhang mit Metallisierungsschichten ist, die ein Material mit kleinem ε aufweisen, auf Grund der zuvor dargelegten Problematik, kann die Ätzstoppschicht 132r auch zu einer beliebig gewünschten Zeit während des Prozessablaufs gebildet werden, und ein entsprechender Ätzprozess für die Abschrägung zum Entfernen unerwünschter Materialien kann in einer beliebigen geeigneten Phase erfolgen. Wenn beispielsweise die Ätzstoppschicht 132r vor der Fertigstellung von Schaltungselementen gebildet wird, kann ein Ätzprozess für die Abschrägung während der Fertigungssequenz für die Herstellung von Transistorelementen ausgeführt werden, wodurch die Möglichkeit besteht, die Defektrate in dieser Fertigungsphase weiter zu reduzieren.
  • Es gilt also: Die vorliegende Erfindung stellt ein verbessertes Verfahren zur Reduzierung der Defektrate insbesondere bei der Herstellung von Metallisierungsschichten, etwa kupferbasierte Metallisierungsschichten mit dielektrischem Materialien mit kleinem ε bereit, die durch CVD-Verfahren abgeschieden werden, wobei zumindest ein zusätzlicher nasschemischer Ätzschritt auf der Grundlage einer Ätzstoppschicht ausgeführt wird, die selektiv über der Abschrägung gebildet wird, um damit im Wesentlichen die dielektrischen Materialien über der Abschrägung des Substrats in einer räumlich äußerst selektiven Weise zu entfernen, ohne im Wesentlichen das zentrale Gebiet des Substrats sowie das Substratmaterial an der Abschrägung zu beeinflussen, wodurch die Möglichkeit geschaffen wird, nasschemische Rezepte anzuwenden, die eine reduzierte Selektivität zu dem Substratmaterial besitzen. Die Ätzstoppschicht kann in effizienter Weise gebildet werden, indem eine Maskenschicht mit einem anderen Ätzverhalten an der Abschrägung im Vergleich zu dem zentralen Gebiet verwendet wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (22)

  1. Verfahren mit: selektives Bilden einer ersten Ätzstoppschicht über einem Abschrägungsgebiet eines Substrats, wobei das Substrat ein zentrales Gebiet benachbart zu dem Abschrägungsgebiet zur Aufnahme von Schaltungselementen aufweist; Bilden eines dielektrischen Schichtstapels für eine Metallisierungsschicht über dem Substrat; und Entfernen eines Teils zumindest einer Schicht des dielektrischen Schichtstapels von dem Abschrägungsgebiet durch selektives Aufbringen eines Ätzmittels auf das Abschrägungsgebiet, wobei die erste Ätzstoppschicht zur Reduzierung eines Ätzschadens des Substrats verwendet wird.
  2. Verfahren nach Anspruch 1, wobei selektives Bilden der ersten Ätzstoppschicht umfasst: Abscheiden der ersten Ätzstoppschicht über dem zentralen Gebiet und dem Abschrägungsgebiet und Entfernen der ersten Ätzstoppschicht aus dem zentralen Gebiet.
  3. Verfahren nach Anspruch 2, wobei selektives Bilden der ersten Ätzstoppschicht ferner umfasst: Abscheiden einer Maskenschicht über der ersten Ätzstoppschicht, wobei die Maskenschicht einen höheren Grad an Schutz in Bezug auf ein spezifiziertes Ätzrezept in dem Abschrägungsgebiet im Vergleich zu dem zentralen Gebiet bereitstellt; und Ätzen der Maskenschicht unter Anwendung des spezifizierten Ätzrezepts, um damit die erste Ätzstoppschicht in dem zentralen Gebiet freizulegen.
  4. Verfahren nach Anspruch 3, das ferner Entfernen der Maskenschicht nach dem Freilegen der ersten Ätzstoppschicht in dem zentralen Gebiet umfasst.
  5. Verfahren nach Anspruch 4, wobei ein freiliegender Bereich der ersten Ätzstoppschicht und der Maskenschicht in einem gemeinsamen Ätzprozess entfernt werden, um damit die erste Ätzstoppschicht in dem Abschrägungsgebiet beizubehalten.
  6. Verfahren nach Anspruch 4, wobei die Maskenschicht nach dem Entfernen der ersten Ätzstoppschicht aus dem zentralen Gebiet entfernt wird.
  7. Verfahren nach Anspruch 6, wobei die Maskenschicht durch selektives Aufbringen eines zweiten Ätzmittels auf das Abschrägungsgebiet entfernt wird.
  8. Verfahren nach Anspruch 3, das ferner umfasst: Bilden einer zweiten Ätzstoppschicht zumindest im dem zentralen Gebiet vor dem Bilden der ersten Ätzstoppschicht, wobei die zweite Ätzstoppschicht eine reduzierte Ätzrate im Vergleich zu der Maskenschicht aufweist.
  9. Verfahren nach Anspruch 8, das ferner umfasst: Entfernen eines freiliegenden Bereichs der ersten Ätzstoppschicht auf der Grundlage der Maskenschicht und Entfernen der Maskenschicht unter Anwendung der zweiten Ätzstoppschicht als einen Ätzstopp.
  10. Verfahren nach Anspruch 3, wobei eine Dicke der Maskenschicht in dem Abschrägungsgebiet größer ist als in dem zentralen Gebiet.
  11. Verfahren nach Anspruch 3, das ferner umfasst: selektives Modifizieren der Maskenschicht in dem zentralen Gebiet, um deren Ätzrate zu erhöhen.
  12. Verfahren nach Anspruch 11, wobei selektives Modifizieren der Maskenschicht Ausführung eines Ionenimplantationsprozesses umfasst.
  13. Verfahren nach Anspruch 12, wobei das Abschrägungsgebiet während des Ionenimplantationsprozesses abgeschattet wird.
  14. Verfahren nach Anspruch 3, wobei Bilden der Maskenschicht selektives Abscheiden eines viskosen Materials über dem Abschrägungsgebiet umfasst.
  15. Verfahren nach Anspruch 1, wobei die erste Ätzstoppschicht vor dem Ausbilden eines Schaltungselements in dem zentralen Gebiet gebildet wird.
  16. Verfahren nach Anspruch 1, wobei die erste Ätzstoppschicht nach dem Bilden eines Schaltungselements in dem zentralen Gebiet und vor dem Bilden des dielektrischen Schichtstapels gebildet wird.
  17. Verfahren nach Anspruch 1, wobei Strukturieren des dielektrischen Schichtstapels umfasst: Abscheiden einer metallenthaltenden Schicht über dem dielektrischen Schichtstapel, und wobei das Verfahren ferner umfasst: Entfernen der metallenthaltenden Schicht selektiv von dem Abschrägungsgebiet unter Anwendung der ersten Ätzstoppschicht als einen Ätzstopp.
  18. Verfahren nach Anspruch 1, wobei das zweite Ätzmittel eine verdünnte Mischung aus Salpetersäure und Flusssäure aufweist.
  19. Verfahren mit: Bilden einer Ätzstoppschicht über einem Abschrägungsgebiet und einem zentralen Gebiet eines Substrats, wobei das zentrale Gebiet ausgebildet ist, um darin ein Schaltungselement herzustellen; und selektives Entfernen der Ätzstoppschicht von dem zentralen Gebiet und Beibehalten der Ätzstoppschicht in dem Abschrägungsgebiet.
  20. Verfahren nach Anspruch 19, wobei die Ätzstoppschicht vor dem Fertigstellen des Transistorelements in dem zentralen Gebiet gebildet wird.
  21. Verfahren nach Anspruch 19, das ferner umfasst: Bilden eines dielektrischen Schichtstapels über dem Substrat und Entfernen eines Teils zumindest einer Schicht des dielektrischen Schichtstapels selektiv von dem Abschrägungsgebiet unter Anwendung der Ätzstoppschicht als eine Ätzstopp.
  22. Verfahren nach Anspruch 19, wobei selektives Entfernen der Ätzstoppschicht umfasst: Bilden einer Maskenschicht, die ausgebildet ist, das zentrale Gebiet freizulassen und das Abschrägungsgebiet abzudecken.
DE102005063089A 2005-12-30 2005-12-30 Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand Ceased DE102005063089A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102005063089A DE102005063089A1 (de) 2005-12-30 2005-12-30 Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand
US11/531,793 US8426312B2 (en) 2005-12-30 2006-09-14 Method of reducing contamination by providing an etch stop layer at the substrate edge

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102005063089A DE102005063089A1 (de) 2005-12-30 2005-12-30 Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand

Publications (1)

Publication Number Publication Date
DE102005063089A1 true DE102005063089A1 (de) 2007-07-12

Family

ID=38169795

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005063089A Ceased DE102005063089A1 (de) 2005-12-30 2005-12-30 Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand

Country Status (2)

Country Link
US (1) US8426312B2 (de)
DE (1) DE102005063089A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007061141B3 (de) * 2007-12-19 2009-06-25 Austriamicrosystems Ag Verfahren zum Schutz eines Waferrandes

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8969195B2 (en) * 2008-02-22 2015-03-03 International Business Machines Corporation Methods of manufacturing semiconductor devices and a semiconductor structure
US7833907B2 (en) * 2008-04-23 2010-11-16 International Business Machines Corporation CMP methods avoiding edge erosion and related wafer
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
JP6676365B2 (ja) * 2015-12-21 2020-04-08 キヤノン株式会社 撮像装置の製造方法
CN110828556B (zh) * 2018-08-10 2023-03-24 联华电子股份有限公司 半导体元件及其制造方法
US10892404B1 (en) * 2019-07-09 2021-01-12 International Business Machines Corporation Sacrificial buffer layer for metal removal at a bevel edge of a substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211086B1 (en) * 1999-06-08 2001-04-03 United Microelectronics Corp. Method of avoiding CMP caused residue on wafer edge uncompleted field
US6426270B1 (en) * 1999-02-02 2002-07-30 Canon Kabushiki Kaisha Substrate processing method and method of manufacturing semiconductor substrate
US20030045101A1 (en) * 2000-02-11 2003-03-06 Flanner Janet M. Convertible hot edge ring to improve low-K dielectric etch
DE10326273A1 (de) * 2003-06-11 2005-01-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Reduzierung der Scheibenkontaminierung durch Entfernen von Metallisierungsunterlagenschichten am Scheibenrand

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2645478B2 (ja) * 1988-10-07 1997-08-25 富士通株式会社 半導体装置の製造方法
KR100343286B1 (ko) * 1999-11-05 2002-07-15 윤종용 웨이퍼 가장자리의 결함 요인 처리 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6426270B1 (en) * 1999-02-02 2002-07-30 Canon Kabushiki Kaisha Substrate processing method and method of manufacturing semiconductor substrate
US6211086B1 (en) * 1999-06-08 2001-04-03 United Microelectronics Corp. Method of avoiding CMP caused residue on wafer edge uncompleted field
US20030045101A1 (en) * 2000-02-11 2003-03-06 Flanner Janet M. Convertible hot edge ring to improve low-K dielectric etch
DE10326273A1 (de) * 2003-06-11 2005-01-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Reduzierung der Scheibenkontaminierung durch Entfernen von Metallisierungsunterlagenschichten am Scheibenrand

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007061141B3 (de) * 2007-12-19 2009-06-25 Austriamicrosystems Ag Verfahren zum Schutz eines Waferrandes

Also Published As

Publication number Publication date
US20070155133A1 (en) 2007-07-05
US8426312B2 (en) 2013-04-23

Similar Documents

Publication Publication Date Title
DE102005035728B3 (de) Verfahren zum Reduzieren der Kontamination durch Entfernung eines Zwischenschichtdielektrikums von dem Substratrand
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102012216153B4 (de) Halbleiterbauelemente mit Kupferverbindungen und Verfahren zu deren Herstellung
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE10326273B4 (de) Verfahren zur Reduzierung der Scheibenkontaminierung durch Entfernen von Metallisierungsunterlagenschichten am Scheibenrand
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102006030266A1 (de) Verringern der Kontamination von Halbleitersubstraten während der Metallisierungsbearbeitung durch Bereitstellen einer Schutzschicht am Substratrand
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE10319136B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
DE102005063089A1 (de) Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102007004867A1 (de) Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102010064288B4 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102006056598B4 (de) Verfahren zur Herstellung eines Transistorbauelements für eine integrierte Schaltung
DE102006051491A1 (de) Metallisierungsschichtstapel mit einer Aluminiumabschlussmetallschicht
DE102009015749B3 (de) Erhöhen der Haftung von dielektrischen Zwischenschichtmaterialien von Halbleiterbauelementen durch Unterdrücken der Silizidbildung am Substratrand
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE10261466A1 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
DE102008044987B4 (de) Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
DE102008054069A1 (de) Reduzierte Scheibendurchbiegung in Halbleitern durch Verspannungstechniken im Metallisierungssystem
DE102005057061B3 (de) Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht
DE102007004884A1 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8128 New person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final

Effective date: 20130522