DE102005052001B4 - Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben - Google Patents

Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben Download PDF

Info

Publication number
DE102005052001B4
DE102005052001B4 DE200510052001 DE102005052001A DE102005052001B4 DE 102005052001 B4 DE102005052001 B4 DE 102005052001B4 DE 200510052001 DE200510052001 DE 200510052001 DE 102005052001 A DE102005052001 A DE 102005052001A DE 102005052001 B4 DE102005052001 B4 DE 102005052001B4
Authority
DE
Germany
Prior art keywords
layer
tungsten
contact
copper
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE200510052001
Other languages
English (en)
Other versions
DE102005052001A1 (de
Inventor
Kai Frohberg
Frank Koschinsky
Katja Huy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE200510052001 priority Critical patent/DE102005052001B4/de
Priority to US11/427,206 priority patent/US20070096221A1/en
Publication of DE102005052001A1 publication Critical patent/DE102005052001A1/de
Application granted granted Critical
Publication of DE102005052001B4 publication Critical patent/DE102005052001B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Halbleiterbauelement mit:
einem Transistorelement (200) mit einem Kontaktgebiet (212), das einen Kontakt zu einem Drain- oder Source-Gebiet herstellt; und
einem Kontaktpfropfen (216a, 216b), der in einer dielektrischen Schicht (203) gebildet ist, um damit eine Verbindung zu dem Kontaktgebiet (212) herzustellen, wobei der Kontaktpfropfen (216a, 216b) Kupfer und eine wolframenthaltende Barrierenschicht aufweist, die die dielektrische Schicht (203) von dem Kontaktpfropfen (216a, 216b) trennt; wobei die Barrierenschicht eine erste Unterschicht (207), die Wolfram und Stickstoff aufweist, und eine zweite Unterschicht (208), die zwischen dem Kupfer (216a, 216b) und der ersten Unterschicht (207) angeordnet ist, umfasst; und
eine Gesamtdicke der Barrierenschicht, die aus der ersten Unterschicht (207) und der zweiten Unterschicht (208) aufgebaut ist, 50 nm oder weniger beträgt.

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft das Gebiet der Halbleiterherstellung und betrifft insbesondere das Herstellen einer Verbindungsstruktur mit einem Kontaktpfropfen zum direkten Kontaktieren eines Schaltungselements.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • Während des Vorgangs zur Herstellung moderner Halbleiterbauelemente, etwa moderner CPU's, werden mehrere unterschiedliche Materialschichten aufeinander abgeschieden und strukturiert, um die erforderlichen Bauteilstrukturelemente zu definieren. Im Allgemeinen sollten aufeinanderfolgende Materialschichten eine gute Haftung zueinander aufweisen, wobei gleichzeitig die Integrität. der einzelnen Schicht gewahrt werden sollte, d. h. die chemische Reaktion benachbarter Schichten miteinander und/oder die Diffusion von Atomen von einer Schicht in die andere sollte während der Fertigungsprozesse für die Herstellung der einzelnen Schichten und nachfolgender Prozesse und danach, wenn das fertiggestellte Bauelement betrieben wird, vermieden werden. Um dieses Erfordernis zu erfüllen, ist häufig eine Zwischenschicht erforderlich, um eine gute Haftung bereitzustellen und um eine Diffusion und damit eine unerwünschte Störung zwischen benachbarten Materialien während der Bearbeitung und des Betriebs zu unterdrücken. Ein typisches Beispiel für derartige Erfordernisse bei der Herstellung von Halbleiterbauelementen ist die Herstellung von Kontaktpfropfen, wobei Öffnungen und Gräben mit einem Unterseitengebiet und einem Seitenwandgebiet mit einer entsprechenden Zwischenschicht auszukleiden sind, d. h. einer leitenden Barrierenschicht, so dass ein nachfolgend abgeschiedenes leitendes Material eine gute Haftung zu dem umgebenden dielektrischen Schichtmaterial aufweist und dass eine unerwünschte Wechselwirkung während der Bearbeitung und des Betriebes vermieden werden kann. In modernen Halbleiterbauelementen, werden die Kontaktpfropfen typischerweise aus einem Metall auf Wolframbasis hergestellt, die in einem dielektrischen Schichtstapel vorgesehen sind, der typischerweise aus Siliziumdioxid mit einer unten liegenden Ätzstoppschicht, die typischerweise aus Siliziumnitrid hergestellt ist, aufgebaut ist.
  • Im Allgemeinen ist der elektrische Widerstand der Barrierenmetallschicht deutlich höher als der Widerstand des Materials auf Wolframbasis, das den Kontaktpfropfen bildet, so dass die Dicke der Barrierenmetallschicht möglichst klein gewählt wird, um eine unerwünschte Erhöhung des Gesamtwiderstandes des Kontaktpfropfens zu vermeiden.
  • In modernen integrierten Schaltungen werden Öffnungen – sogenannte Kontaktdurchführungen – hergestellt, die ein Aspektverhältnis aufweisen, das bis zu ungefähr 8:1 oder mehr betragen kann, und die Öffnung kann einen Durchmesser von 0,1 μm oder kleiner besitzen. Das Aspektverhältnis derartiger Öffnungen wird im Allgemeinen als das Verhältnis der Tiefe der Öffnung zu der Breite der Öffnung definiert. Daher ist es äußerst schwierig, eine dünne, gleichförmige Barrierenmetallschicht auf den gesamten Seitenwänden herzustellen, insbesondere an den unteren Ecken, um damit in effizienter Weise einen direkten Kontakt des Metalls mit dem umgebenden dielektrischen Material zu vermeiden. D. h. es ist schwierig, eine Barrierenmetallschicht zu bilden, die in geeigneter Weise alle Oberflächen der Öffnungen abdeckt.
  • Mit Bezug zu 1 wird nun ein typischer konventioneller Prozessablauf zur Herstellung von Kontakten zu einem Schaltungselement gemäß gut etablierter Technologien auf Wolframbasis detaillierter beschrieben, um die darin beteiligten Probleme bei der Herstellung einer zuverlässigen leitenden Barrierenschicht darzustellen.
  • 1 zeigt schematisch ein Halbleiterbauelement 100 während einer Fertigungsphase für das Herstellen von Kontaktpfropfen, die eine Verbindung zu einem Schaltungselement, etwa einem Transistor 110 bilden, der über einem geeigneten Halbleitersubstrat 101 ausgebildet ist. Das Schaltungselement 110 kann ein oder mehrere Kontaktgebiete, etwa eine Gateelektrode 111 und Drain- und Sourcegebiete 112 umfassen. Das Schaltungselement 110 ist von einer dielektrischen Materialschicht bedeckt, die eine Kontaktätzstoppschicht 102 aufweisen kann, die aus Siliziumnitrid hergestellt ist, und weist ein Zwischenschichtdielektrikumsmaterial 103 auf, das typischerweise Siliziumdioxid aufweist. Ferner sind zwei Kontaktöffnungen 104a, 104b in den dielektrischen Schichten 103 und 102 ausgebildet, um damit eine Verbindung zu den entsprechenden Kontaktgebieten 1111 und 112 herzustellen. Ferner ist eine leitende Barrierenschicht, die typischerweise aus einer Titanbeschichtung 105 und einer Titannitridschicht 106 gemäß der Kontakttechnologie auf Wolframbasis aufgebaut ist, auf der dielektrischen Schicht 103 und innerhalb der Kontaktöffnung 104a, 104b gebildet. Die Titanbeschichtung 105 und die Titannitridbarrierenschicht 106 sind so gebildet, dass die Zuverlässigkeit der nachfolgenden Abscheidung eines Materials auf Wolframbasis verbessert wird, wobei der Abscheideprozess typischerweise als ein CVD-(chemischer Dampfabscheide-)Prozess ausgeführt wird, in welchem Wolframhexafluorid (WF6) in einem thermisch aktivierten ersten Schritt auf der Grundlage von Silan (SiH4) reduziert wird und anschließend in einem zweiten Schritt in Wolfram auf der Grundlage von Wasserstoff umgewandelt wird. Während der Reduzierung des Wolframs auf der Grundlage von Wasserstoff wird ein direkter Kontakt zu dem Siliziumdioxid der dielektrischen Schicht 103 im Wesentlichen durch die Titanbeschichtung 105 vermieden, um damit einen unerwünschten Siliziumverbrauch in dem Siliziumdioxid zu vermeiden. Jedoch zeigt Titannitrid eine relativ geringe Haftung zu Siliziumdioxid und kann daher die Zuverlässigkeit des entsprechenden Wolframpfropfens, der nachfolgend gebildet wird, gefährden. Folglich wird die Titannitridbarrierenschicht 106 zur Verbesserung der Haftung der Titanbeschichtung 105 vorgesehen.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in 1a gezeigt ist, weist die folgenden Prozesse auf. Nach der Herstellung des Schaltungselements 110 auf der Grundlage gut etablierter Fertigungsverfahren kann die Kontaktätzstoppschicht 102 auf der Grundlage gut bekannter plasmaunterstützter CVD-Verfahren hergestellt werden, an die sich das Abscheiden des Siliziumdioxids der Schicht 103 auf der Grundlage von TEOS anschließt, wodurch eine dichte und kompakte Materialschicht gebildet wird. Nach optionalen Einebnungsprozessen zum Einebnen der Schicht 103 wird eine Photolithographiesequenz auf der Grundlage gut etablierter Prozessrezepte ausgeführt, woran sich anisotrope Ätzverfahren zur Herstellung der Kontaktöffnungen 104a, 104b in der Schicht 103 anschließen, wobei der Ätzprozess in zuverlässiger Weise auf der Grundlage der Ätzstoppschicht 102 gesteuert werden kann. Danach können weitere Ätzprozesse ausgeführt werden, um schließlich die Kontaktätzstoppschicht 102 basierend auf gut etablierten Prozesstechniken zu öffnen. Danach wird die Titanbeschichtung 105 auf der Grundlage einer ionisierten physikalischen Dampfabscheidung, etwa einer Sputter-Abscheidung, hergestellt. Der Betriff „Sputtern” oder „Sputter-Abscheidung” beschreibt einen Mechanismus, in welchem Atome aus einer Oberfläche eines Targetmaterials beim Auftreffen ausreichend energetischer Teilchen herausgelöst werden. Das Sputtern wurde zu einer vorherrschenden Technik für die Abscheidung von Titan, Titannitrid und dergleichen. Obwohl im Prinzip eine verbesserte Stufenbedeckung durch Anwendung von CVD-Verfahren erreicht werden könnte, wird die Sputterabscheidung häufig für das Abscheiden der Beschichtung 105 aus den folgenden Gründen eingesetzt.
  • Die Sputter-Abscheidung ermöglicht die relativ gleichförmige Abscheidung von Schichten über große Substratflächen hinweg, da das Sputtern mittels großflächiger Targetflächen bewerkstelligt werden kann. Die Steuerung der Filmdicke durch Sputter-Abscheidung ist relativ einfach im Vergleich zur CVD-Abscheidung und kann dadurch erreicht werden, dass ein konstanter Satz an Betriebsbedingungen eingestellt wird, wobei die Abscheidezeit dann eingestellt wird, um die erforderliche Schichtdicke zu erreichen. Ferner kann die Zusammensetzungen von Verbindungen, etwa Titannitrid, das in der Barrierenschicht 106 verwendet wird, einfacher und präziser in einem Sputter-Abscheideprozess im Vergleich zu CVD gesteuert werden. Des weiteren können die Oberflächen der Substrate, die zu bearbeiten sind, vor der eigentlichen Film-Abscheidung durch Sputtern gereinigt werden, so dass eine Kontamination der Oberfläche in effizienter Weise entfernt und eine erneute Kontamination vor dem eigentlichen Abscheideprozess in effizienter Weise unterdrückt werden kann. Für eine effiziente Abscheidung eines moderat dünnen Materials in den Kontaktöffnungen 104a, 104b mit einem relativ hohen Aspektverhältnis werden sogenannte ionisierte Sputter-Abscheideverfahren eingesetzt, in denen die Targetatome, die aus dem Target herausgeschlagen werden, in effizienter Weise mittels einer entsprechenden Plasmaumgebung ionisiert werden, während sie sich in Richtung auf das Substrat zubewegen. Auf der Grundlage einer Gleichspannungs- oder Radiofrequenz-Vorspannung kann die Richtungstreue der sich bewegenden ionisierten Targetatome deutlich verbessert werden, wodurch das Abscheiden des Targetmaterials an der Unterseite der Kontaktöffnungen 104a, 104b selbst für hohe Aspektverhältnisse ermöglicht wird.
  • Auf Grund dieses Mechanismus ist jedoch die Schichtdicke an der Unterseite 104c deutlich größer im Vergleich zu einer Dicke an den Seitenwänden der Kontaktöffnungen 104a, 104b, selbst wenn diese Seitenwände von einer im Wesentlichen zusammenhängenden Schicht bedeckt sind. Insbesondere an unteren Seitenwandbereichen 104d kann die entsprechende Schichtdicke deutlich kleiner sein im Vergleich zu der Dicke an der Unterseite 104c. Es ist jedoch eine zuverlässige und damit minimale Schichtdicke erforderlich, insbesondere an den unteren Seitenwandbereichen 104d, um im Wesentlichen eine nachteilige Wechselwirkung während der nachfolgenden Wolframabscheidung zu vermeiden. Beispielsweise ist für eine minimale Schichtdicke von ungefähr 50 bis 60 Angstrom an den unteren Seitenwandbereichen 104d eine Schichtdicke an der Unterseite von ungefähr 300 bis 400 Angstrom erforderlich, woraus sich ein erhöhter Kontaktwiderstand ergibt, da die Kombination aus Titannitrid und Titan einen deutlich höheren Widerstand im Vergleich zu den Kontaktgebieten 112 und dem nachfolgend aufgefüllten Wolfram aufweist. Ferner kann in anspruchsvollen Anwendungen, die die Herstellung von Kontaktpfropfen mit hohem Aspektverhältnis erfordern, selbst die moderat geringe Leitfähigkeit des Wolframpfropfens im Vergleich zu Kontaktdurchführungen auf Kupferbasis, die in höheren Metallisierungsschichten vorgesehen sind, deutlich zu einer Signalausbreitungsverzögerung beitragen, wodurch die Arbeitsgeschwindigkeit der gesamten integrierten Schaltung beschränkt wird. Jedoch ist die Verwendung der Kupfertechnologie auf der Grundlage von Tantal als Barrierenmaterial, wie dies für Kontaktdurchführungen in den Metallisierungsschichten eingesetzt wird, nicht ausreichend, um in zuverlässiger Weise eine Kupferdiffusion in empfindlichen Transistorbereiche zu unterdrücken, da bereits sehr kleine Löcher in dem Tantal zum Aufwachsen von Kupfersilizid führen können, wodurch sich schließlich ein Transistorausfall ergeben kann.
  • US 2005/0035460 A1 offenbart ein Halbleitersubstrat mit einer Source-/Drainregion eines MOS-Transistors und eines Metallsilizids, welches auf der Source-/Drainregion gebildet ist. Auf dem Metallsilizid der Source-/Drainregion ist eine Puffer-Metallschicht gebildet, die als Diffusionsbarriere dienen kann. In einem Kontaktloch in einer dielektrischen Schicht ist eine Metallbarrierenschicht gebildet, die Tantal-Titan, Wolfram, Tantalnitrid, Titannitrid, Wolframnitrid oder Kombinationen hiervon enthält, und ein Kupfer- oder Kupferlegierungspfropfen füllt das Kontaktloch im Wesentlichen aus.
  • US 6 727 592 B1 betrifft Kupfer- und Kupferlegierungsverbindungsleitungen von geringem Widerstand, die eine verbesserte Elektromigrationsbeständigkeit und eine erhöhte Durchgangskettenausbeute aufweisen. In diesem Zusammenhang offenbart die US 6 727 592 B1 diskontinuierliche Cluster von Atomen auf den Seiten einer Kontaktöffnung.
  • DE 198 44 451 A1 betrifft eine Barrierenschicht mit einer ersten Barrierenschicht, welche eine dotierte Siliziumschicht oder eine Schicht dotiert mit Silizium ist. Ein auf der ersten Barrierenschicht angeordnetes zweites Barrierenschichtmaterial ist ausgewählt aus einer Gruppe enthaltend Titan/Titannitrid, Wolframnitrid, Tantal, Tantalnitrid.
  • US 6 731 006 B1 betrifft die Herstellung von dotierten Kupferverbindungsleitungen unter Verwendung von thermischer Laserbehandlung. Ein Haft-/Barrierenmaterial wie zum Beispiel Tantal, Titan, Wolfram, Tantalnitrid oder Titannitrid kann in einer Öffnung abgeschieden werden, welche sich zu einem Metallisierungsniveau erstreckt.
  • US 5 084 412 A betrifft die Bildung einer Verdrahtungsschicht, welche Kupfer enthält. Eine dünne Schicht aus einem Metall, welches keine intermetallische Verbindung mit Kupfer bildet, und eine Kupferschicht werden nacheinander auf einer isolierenden Zwischenschicht eines Halbleitersubstrats abgeschieden. Nach einer Strukturierung wird die gestapelte Schicht einer Wärmebehandlung unterzogen, um eine Nitridschicht zu bilden, welche die Kupferschicht bedeckt.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine verbesserte Technik, die die Herstellung zuverlässiger Kontaktpfropfen mit einem geringeren Kontaktwiderstand ermöglicht, während eines oder mehrere der zuvor erkannten Probleme vermieden oder deren Auswirkungen zumindest reduziert werden.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegenden Erfindung an eine Technik, die die Herstellung von Kontaktpfropfen im Halbleiterbauelementen ermöglicht, die eine direkte Verbindung zu Schaltungselementen, etwa Transistoren, herstellen, wobei ein deutlich reduzierter Kontaktwiderstand erreicht wird, indem ein äußerst leitendes Material, etwa ein kupferenthaltendes Metall, eingesetzt wird. Des weiteren können die entsprechenden Kontaktpfropfen eine effiziente leitende Barrierenschicht mit einer wolframbasierten Schicht aufweisen, die auf der Grundlage äußerst konformer CVD-(chemische Dampfabscheide-)Techniken abgeschieden werden kann, wodurch eine verbesserte Stufenabdeckung selbst in kritischen Gebieten der Kontaktöffnungen sichergestellt wird. Das wolframbasierte Material zeigt ferner eine hohe Kupferdiffusionsblockierwirkung, wodurch die Verwendung gut etablierter Kupfermetallisierungsschemata selbst für die äußerst sensiblen Bauteilgebiete, die in der Nähe der Schaltungselemente angeordnet sind, ermöglicht wird. Folglich kann im Vergleich zu konventionellen Verfahren, die auf einem Wolframkontaktpfropfen basieren, sogar für äußerst größenreduzierte Halbleiterbauelemente ein deutlich reduzierter Widerstand und damit eine erhöhte Arbeitsgeschwindigkeit der Transistorelemente erreicht werden. Ferner kann in anschaulichen Ausführungsformen eine ALD-(Atomlagendepositions-)Technik eingesetzt werden, die äußerst skalierbar im Hinblick auf eine weitere Erhöhung des Aspektverhältnisses entsprechender Kontaktöffnungen ist, wobei die Möglichkeit geschaffen wird, äußerst dünne aber dennoch äußerst zuverlässige leitende Barrierenschichten für äußerst größenreduzierte Halbleiterbauelemente herzustellen.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Halbleiterbauelement ein Schaltungselement mit einem Kontaktgebiet. Das Halbleiterbauelement umfasst ferner einen Kontaktpfropfen, der in einer dielektrischen Schicht ausgebildet ist, um damit eine Verbindung zu dem Kontaktgebiet herzustellen, wobei der Kontaktpfropfen Kupfer und eine wolframenthaltende Barrierenschicht, die die dielektrische Schicht und das Kupfer trennt, aufweist.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer leitenden Barrierenschicht in einer Kontaktöffnung eines Schaltungselements auf der Grundlage eines wolframenthaltenden Vorstufenmaterials. Ferner wird die Kontaktöffnung dann mit einem kupferenthaltenden Material gefüllt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1 schematisch eine Querschnittsansicht eines Halbleiterbauelements während der Herstellung von Kontaktpfropfen auf der Grundlage einer konventionellen Wolframtechnologie zeigt; und
  • 2a bis 2g schematisch Querschnittsanschichten eines Halbleiterbauelements während der Herstellung von Kontaktpfropfen auf der Grundlage einer wolframenthaltenden leitenden Barrierenschicht und eines kupferbasierten Füllmaterials während diverser Fertigungsphasen gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung zeigen.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung und die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen betrifft die vorliegende Erfindung eine verbesserte Technik für die Herstellung von Kontaktpfropfen, die eine Verbindung zu entsprechenden Kontaktgebieten von Schaltungselementen, etwa Transistoren, Kondensatoren, und dergleichen herstellen. Für diesen Zweck kann ein äußerst leitendes Material, etwa Kupfer, in Verbindung mit einem wolframbasierten Barrierenmaterial verwendet werden, das in einer äußerst zuverlässigen Weise abgeschieden werden kann, d. h. mit einer ausgezeichneten Stufenabdeckung, während andererseits ein hohes Potential an Kupferabblockung geboten wird, wodurch die Anwendung von Kupfer in der Nachbarschaft äußerst sensibler Bauteilgebiete ermöglicht wird. In einigen anschaulichen Ausführungsformen kann die wolframbasierte leitende Barrierenschicht durch moderne CVD-Verfahren, etwa ALD-(Atomlagendepositions-)Abscheidung auf der Grundlage geeigneter Vorstufenmaterialien gebildet werden, wobei die ausgezeichnete Stufenabdeckung des ALD-Prozesses für eine hohe Zuverlässigkeit selbst bei einer reduzierten Schichtdicke sorgt. Somit können auf der Grundlage der wolframenthaltenden Barrierenschicht Kupfermetallisierungsverfahren, wie sie typischerweise für die Herstellung für die Kontaktdurchführung und die Metallleitung in äußerst modernen kupferbasierten Metallisierungsschichten eingesetzt werden, auch in Verbindung mit der Herstellung von Kontaktpfropfen eingesetzt werden, wodurch deutlich die thermische und elektrische Leitfähigkeit der entsprechenden Kontakte verbessert wird. Somit kann die Technik der vorliegenden Erfindung in effizienter Weise auf die Herstellung von Kontaktstrukturen selbst äußerst größenreduzierter Halbleiterbauelemente ausgedehnt werden, die kritische Abmessungen von 100 nm und deutlich weniger aufweisen.
  • Mit Bezug zu den 2a bis 2g werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 2a zeigt schematisch ein Halbleiterbauelement 200, das ein Schaltungselement 210 aufweist, etwa einen Kondensator, einen Widerstand oder ein anderes Schaltungselement, das in einer anschaulichen Ausführungsform ein Transistorelement repräsentieren kann, das über einem Substrat 201 gebildet ist. Das Substrat 201 kann ein beliebiges geeignetes Substrat zur Herstellung von Halbleiterbauelementen darauf repräsentieren, etwa ein SOI-(Silizium-auf-Isolator)Substrat, ein Halbleitervollsubstrat oder ein anderes geeignetes Trägermaterial mit einer darauf ausgebildeten geeigneten Halbleiterschicht zur Herstellung von Schaltungselementen. Das Schaltungselement 210 kann ferner ein oder mehrere Kontaktgebiete 211, 212 aufweisen, die in dem gezeigten Beispiel als eine Gateelektrode, d. h. das Kontaktgebiet 211, und Drain- und Sourcegebiete, d. h. das Kontaktgebiet 212 gezeigt sind. Ferner ist ein dielektrischer Schichtstapel über dem Schaltungselement 210 ausgebildet und kann aus einem geeigneten dielektrischen Material hergestellt sein, wie dies für die zuverlässige Isolierung und Passivierung des Schaltungselements 210 erforderlich ist. In einer anschaulichen Ausführungsform kann eine Kontaktätzstoppschicht 202, die beispielsweise aus Siliziumnitrid oder einem anderen geeigneten Material aufgebaut ist, vorgesehen sein, an die sich eine Dielektrikumszwischenschicht 203 anschließt, die aus einem oder mehreren geeigneten dielektrischen Materialien aufgebaut sein kann. In einer anschaulichen Ausführungsform ist die dielektrische Schicht 203 im Wesentlichen aus Siliziumdioxid hergestellt. Kontaktöffnungen 204a, 204b können in den dielektrischen Schichten 203 und 202 hergestellt sein, wodurch eine Verbindung zu den entsprechenden Kontaktgebieten 211 und 212 gebildet ist. In einer anschaulichen Ausführungsform können ein oder mehrere der Kontaktgebiete 211 und 212 aus einem äußerst leitfähigen Metallsilizid hergestellt sein, das in einer Ausführungsform in Form eines Nickelsilizids vorgesehen ist.
  • Ferner unterliegt das Halbleiterbauelement 200 in einer anschaulichen Ausführungsform, wie dies dargestellt ist, einer Vorbehandlung 220 zum Vorbereiten der Kontaktgebiete 211 und 212 für die nachfolgende Abscheidung eines Barrierenmaterials. In einer anschaulichen Ausführungsform kann die Vorbehandlung 220 eine plasmabasierte Behandlung auf der Grundlage einer inerten Gattung, etwa Argon, Wasserstoff, Stickstoff und dergleichen, umfassen. Z. B. kann die Vorbehandlung 220 auf der Grundlage einer Plasmaumgebung ausgeführt werden, die Argon und Wasserstoff für ein effizientes Entfernen von Kontaminationsstoffen von den freiliegenden Bereichen der Kontaktgebiete 211, 212 in einem Sputter-ähnlichen Prozess ausgeführt werden.
  • Das Halbleiterbauelement 200, wie es in 2a gezeigt ist, kann gemäß gut etablierter Verfahren zur Herstellung von Schaltungselementen, etwa dem Schaltungselement 210, auf der Grundlage geeigneter kristalliner, polykristalliner und amorpher Halbleitermaterialien gebildet werden. In anschaulichen Ausführungsformen kann das Schaltungselement 210 ein Schaltungselement eines äußerst fortschrittlichen siliziumbasierten Halbleiterbauelements repräsentieren, wobei minimale kritische Abmessungen, etwa eine Gatelänge, d. h. in 2a die horizontale Abmessung der Gateelektrode 211a einschließlich des Kontaktgebiets 211, 90 nm und weniger oder sogar 50 nm und weniger für äußerst moderne Bauteile betragen kann. In einigen anschaulichen Ausführungsformen kann die Herstellung des Schaltungselements 210 moderne Silizidierungsprozesse für das Bereitstellen der Kontaktgebiete 211 und 212 in Form eines hoch leitfähigen Metallsilizid beinhalten. In einer anschaulichen Ausführungsform können zumindest einige der Gebiete 212, 211 als Nickelsilizidgebiete hergestellt werden, wobei während der Herstellung eine chemische Reaktion zwischen Nickel und dem darunter liegenden siliziumenthaltenden Material in Gang gesetzt wird, wodurch eine merkliche Menge an Nickelmonosilizid erzeugt wird, während im Wesentlichen die Herstellung des weniger leitfähigen Nickeldisilizids vermieden wird. Während der Herstellung der entsprechenden Nickelsilizidgebiete kann eine Wärmebehandlung so durchgeführt werden, dass die entsprechende chemische Reaktion initiiert und die entsprechende Phase des Nickelsilizids stabilisiert wird. Beispielsweise sollte in nachfolgenden Prozessschritten eine gewisse Temperatur nicht überschritten werden, etwa ungefähr 400 Grad C, um nicht in unerwünschter Weise weiteres Nickelmonosilizid in nicht gewünschtes Nickeldisilizid umzuwandeln, wodurch die Gesamtleitfähigkeit der Kontaktgebiete 211 und 212 beeinträchtigt würde. Wie nachfolgend beschrieben ist, können gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung die nachfolgenden Prozessschritte zur Herstellung äußerst leitender Kontaktpfropfen in den Kontaktöffnungen 204a, 204b bei einer Temperatur von ungefähr 400 Grad C und deutlich weniger ausgeführt werden.
  • Nach der Herstellung des Schaltungselements 210 einschließlich der Kontaktgebiete 211 und 212 können die Kontaktätzstoppschicht 202 und das Zwischenschichtdielektrikumsmaterial 203 auf der Grundlage gut etablierter Verfahren abgeschieden werden, die typischerweise eine CVD-Technik mit oder ohne plasmaunterstützter Abscheideatmosphäre beinhalten. Danach können die Kontaktöffnungen 204a, 204b durch Photolithographie und moderne Ätztechniken hergestellt werden, wobei abhängig von den Entwurfserfordernissen eine Breite der Öffnungen 204a, 204b in der gleichen Größenordnung wie die entsprechenden kritischen Abmessungen, d. h. die entsprechende Gatelänge des Schaltungselements 210, liegen können. Danach kann das Bauelement 200 der Atmosphäre der Vorbehandlung 220 ausgesetzt werden, um Ätznebenprodukte, die sich auf den freigelegten Bereichen der Kontaktgebiete 211 und 212 gebildet haben können, zu entfernen.
  • 2b zeigt schematisch das Halbleiterbauelement 200 nach dem Ende der Vorbehandlung 220 mit einer ersten Barrierenschicht 207, die in einer anschaulichen Ausführungsform Wolfram und Stickstoff aufweist. Die erste Barrierenschicht 207 kann eine Dicke 207a aufweisen, die ungefähr 10 nm oder weniger betragen kann und die in anschaulichen Ausführungsformen ungefähr 5 nm oder weniger betragen kann. Beispielsweise kann die erste Barrierenschicht 207 in einer anschaulichen Ausführungsform eine Wolframnitridschicht (Wn) repräsentieren, wobei das stöchiometrische Verhältnis zwischen Wolfram und Stickstoff in Abhängigkeit der Prozessbedingungen eines entsprechenden Abscheideprozesses 230 variieren kann.
  • In einer anschaulichen Ausführungsform kann der Abscheideprozess 230 zum Abscheiden der Barrierenschicht 207 als ein thermischer ALD-Prozess eingerichtet sein, wobei eine Prozesstemperatur, d. h. die Temperatur des Substrats 201 und damit das Schaltungselement 210, bei 400 Grad C und weniger gehalten wird, wobei in einer anschaulichen Ausführungsform die Temperatur des Substrats 201 bei ungefähr 300 Grad C und weniger stabilisiert wird. Die Abscheideatmosphäre des Prozesses 230 kann auf der Grundlage von Wolframhexafluorid (Wf6), Borhydrid (B2H6) und Ammoniak (NH3) als Reaktionsgase eingerichtet werden. Um beispielsweise den oberflächengesättigten thermischen ALD-Prozess in Gang zu setzen, kann eine spezifizierte Dosis der Gase in die Abscheideatmosphäre des Prozesses 230 eingeführt werden, woran sich ein nachfolgender Spülschritt anschließt, um damit eine Abscheiderate von Wolframnitrid von ungefähr 1,0 bis 1,4 Angstrom pro Abscheideschritt zu erreichen. Folglich kann eine gut steuerbare und konforme Abscheidung der ersten Barrierenschicht 207 erreicht werden, so dass im Gegensatz zu konventionellen Vorgehensweisen eine sehr dünne aber äußerst zusammenhängende Schicht selbst an kritischen Positionen, etwa unteren Bereichen 204d der Kontaktöffnung 204a, die sich bis ungefähr 20 bis 100 nm herauferstrecken, erreicht werden kann.
  • In anderen Ausführungsformen kann die erste Barrierenschicht 207 mittels anderer geeigneter Abscheideverfahren hergestellt werden, beispielsweise auf der Grundlage von CVD-Techniken, die für die erforderliche Stufenbedeckung sorgen können. In noch anderen Ausführungsformen kann die erste Barrierenschicht 207 auf der Grundlage gut etablierter CVD-Techniken für das Abscheiden von Wolfram erfolgen, wobei der Prozess 230 ferner einen nachfolgenden Nitridierungsprozess umfassen kann, in welchem ein stickstoffenthaltendes Plasma errichtet wird, um Stickstoff in die zuvor abgeschiedene Wolframschicht einzuführen. In einer anschaulichen Ausführungsform können die Vorbehandlung 220 (siehe 2a) und der Abscheideprozess 230 ausgeführt werden, ohne dass der Vakuumzustand, der während der Behandlung 220 und des Abscheideprozesses 230 aufrecht erhalten wird, unterbrochen wird. Beispielsweise kann eine Abscheideanlage eingesetzt werden, die das Erzeugen einer entsprechenden plasmabasierten Umgebung für den Reinigungsprozess 220 ermöglicht, wobei danach die Abscheideumgebung des Prozesses 230 eingerichtet werden kann, ohne dass das vorgereinigte Halbleiterbauelement 200 mit Umgebungsluft in Kontakt kommt, so dass eine erneute Kontamination der zuvor gereinigten Struktur vermieden wird.
  • In einer anschaulichen Ausführungsform kann die erste Barrierenschicht 207 Wolfram umfassen, wobei die Schicht 207 zumindest eine Unterschicht aufweisen kann, die aus Wolframnitrid hergestellt ist. Der Anteil an Stickstoff in der Wolframnitridschicht kann auf der Grundlage entsprechender Abscheideparameter des Prozessors 230 eingestellt werden, wie dies zuvor erläutert ist. Des weiteren kann die Kristallstruktur der Schicht 207 auf der Grundlage von Abscheideparametern und/oder auf der Grundlage einer nachfolgenden Behandlung, die nach dem Abscheideprozess 230 ausgeführt wird, eingestellt werden.
  • 2c zeigt schematisch das Halbleiterbauelement 200 während eines weiteren Abscheideprozesses 231 zur Herstellung einer zweiten Barrierenschicht 208, die in Verbindung mit der ersten Barrierenschicht und weiteren optionalen Schichten (nicht gezeigt) einen Barrierenschichtstapel 215 bildet. In einer anschaulichen Ausführungsform kann die zweite Barrierenschicht aus einem leitenden Material aufgebaut sein, das geeignet ist, um eine gute Haftung und diffusionsblockierende Eigenschaften im Hinblick auf ein äußerst leitfähiges Metall bereitzustellen, das nachfolgend abgeschieden wird. In einer anschaulichen Ausführungsform weist die zweite Barrierenschicht 208 Tantal und/oder Tantalnitrid, Titan, Titannitrid und dergleichen auf, wobei die Schicht 208 aus zwei oder mehreren Teilschichten aufgebaut sein kann. In einer anschaulichen Ausführungsform wird die Schicht 208 als eine im Wesentlichen reine Tantalschicht abgeschieden, wobei auf Grund der hohen Gleichförmigkeit der zuvor abgeschiedenen wolframbasierten ersten Barrierenschicht 207 die Abscheidegleichförmigkeit für die Schicht 208, die während des Abscheideprozesses 231 erreicht wird, weniger kritisch ist, da die Schicht 207, die in zuverlässiger Weise die Oberflächen der Kontaktöffnungen 204a, 204b abdeckt, auch als ein effizientes Diffusionsbarrierenmaterial für äußerst leitende Metalle, etwa Kupfer, dient. Folglich kann der Abscheideprozess 231 auf der Grundlage gut etablierter Verfahren, etwa einer physikalischen Dampfabscheidung (PVD), einer Sputter-Abscheidung, und dergleichen ausgeführt werden. Für äußerst anspruchsvolle Anwendungen, wenn die Gesamtdicke einer Barrierenschicht, die aus den Schichten 207 und 208 aufgebaut ist, als eine äußerst dünne Barrierenschicht mit einer Gesamtdicke von ungefähr 50 nm oder deutlich weniger vorzusehen ist, kann die zweite Barrierenschicht ebenso auf der Grundlage von ALD-Verfahren abgeschieden werden, die für gut erprobte Prozessrezepte für Tantal und Tantalnitrid verfügbar sind und die in geeigneter Weise eingesetzt werden können. In noch anderen Ausführungsformen kann der Abscheideprozess 231 einen Abscheideschritt enthalten, in welchem ein geeignetes Katalysatormaterial, etwa Palladium, Platin, Kupfer, Kobalt und dergleichen, abgeschieden oder in die Barrierenschicht 208 eingebaut werden können, und damit als ein Katalysator während eines nachfolgenden elektrochemischen Abscheideprozesses zur Herstellung einer Kupfersaatschicht zu dienen. Während eines entsprechenden Abscheideschrittes zum Einbauen eines derartigen Katalysatormaterials ist die Abdeckung der freiliegenden Oberflächen des zuvor abgeschiedenen Materials weniger kritisch, da das Katalysatormaterial nicht notwendigerweise die gesamten freiliegenden Oberflächenbereiche bedecken muss.
  • In einer anschaulichen Ausführungsform können die Schichten 208 und 207 in einem in-situ-Prozess hergestellt werden, wodurch im Wesentlichen ein Kontakt der Schicht 207 nach dem Abscheiden mit Umgebungsluft vermieden wird, was zu einer Oxidation der Schicht 207 führen könnte.
  • 2d zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Hier ist eine Saatschicht 209 auf dem Barrierenschichtstapel 215 gebildet, der in dieser anschaulichen Ausführungsform aus der ersten und der zweiten Schicht 207 und 208 aufgebaut sein kann. Die Saatschicht 209 kann durch einen beliebigen geeigneten Abscheideprozess 232 gebildet werden, der in einer anschaulichen Ausführungsform ein elektrochemischer Prozess sein kann, wie dies zuvor beschrieben ist, etwa ein stromloser Plattierungsprozess. In anderen Ausführungsformen können gut etablierte Sputter-Abscheideverfahren zur Herstellung der Saatschicht 209 eingesetzt werden. Danach kann ein weiterer Abscheideprozess, beispielsweise auf der Grundlage gut etablierter elektrochemischer Abscheideverfahren, etwa dem Elektroplattieren, ausgeführt werden, um damit die Kontaktöffnungen 204a, 204b in einer äußerst nicht konformen Weise zu füllen, während im Wesentlichen eine Ausbildung von Hohlräumen innerhalb der Öffnungen 204a und 204b vermieden wird. Beispielsweise wurden in der Damaszener-Technik, die typischerweise für kupferbasierte Metallisierungsschichten eingesetzt wird, gut erprobte äußerst nicht konforme Elektroplattierungstechniken entwickelt, um selbst Kontaktdurchführungen mit hohem Aspektverhältnis mit Kupfer oder Kupferlegierungen zu füllen, und diese Verfahren können entsprechend angepasst werden, um für die Kontaktöffnungen 204a, 204b angewendet zu werden. Während der elektrochemischen Abscheidung des Kupfers oder der Kupferlegierung wird ein gewisses Maß an Überschussmaterial abgeschieden, um damit in zuverlässiger Weise die Kontaktöffnungen 204a, 204b zu füllen, das dann durch gut etablierte Verfahren, etwa Elektropolieren und chemisch-mechanisches Polieren (CMP) entfernt werden muss. Stromlose Prozesse können ebenso ausgeführt werden, um die Öffnungen 204a, 204b zu füllen. In einer anschaulichen Ausführungsform kann das überschüssige Material des Kupfers oder der Kupferlegierung zusammen mit dem überschüssigen Material der Schichten 209, 208 und 207, die auf horizontalen Oberflächenbereichen gebildet sind, mittels eines CMP-Prozesses entfernt werden, während welchem die darunter liegende dielektrische Schicht 203 als eine zuverlässige CMP-Stoppschicht dienen kann.
  • 2e zeigt schematisch das Halbleiterbauelement 200 nach dem Ende der zuvor beschriebenen Prozesssequenz. Somit weist das Bauelement 200 Kontaktpfropfen 216a, 216b auf, die in den entsprechenden Kontaktöffnungen gebildet sind, die aus dem Barrierenschichtstapel 215, der die erste Barrierenschicht 207 und die zweite Barrierenschicht 208 enthalten kann, aufgebaut sind. Die Schicht 208 liefert die gewünschte Haftung und die Fähigkeit der Kupferdiffusionsabblockung und kann aus tantalenthaltenden Materialien, etwa Tantal, Tantalnitrid, und dergleichen hergestellt sein, wobei auch andere Materialien, etwa Titan, Titannitrid und dergleichen verwendet werden können. Die Schichten 207 und 208 können mit einer reduzierten Dicke im Vergleich zu konventionellen Titannitrid/titanbasierten Barrierenschichten für einen Kontaktpfropfen auf Wolframbasis vorgesehen sein, wodurch deutlich der Gesamtwiderstand der Pfropfen 216a, 216b reduziert wird. Ferner ist auf Grund des äußerst leitenden Metalls, etwa Kupfer oder Legierungen davon, der Reihenwiderstand der Pfropfen 216a, 216b, insbesondere wenn Pfropfen mit äußerst großem Aspektverhältnis betrachtet werden, deutlich kleiner auf Grund der verbesserten thermischen und elektrischen Leitfähigkeit des Kupfers und der Kupferlegierungen im Vergleich zu Wolfram, das in konventionellen Verfahren verwendet wird, während der Barrierenschichtstapel 215 für eine hohe Effizienz bei der Kupferabblockung sorgt.
  • 2f zeigt schematisch das Halbleiterbauelement 200 gemäß noch weiterer anschaulicher Ausführungsformen. Hier ist das Bauelement 200 in einer Fertigungsphase nach dem Abscheiden von Kupfer oder einer Kupferlegierungsschicht 216 beispielsweise durch Elektroplattieren gezeigt. Das Bauelement 200 kann in der gezeigten Weise mehrere der Schaltungselemente, etwa das Schaltungselement 210, aufweisen, deren Kontaktöffnungen mit entsprechenden Kupfer- oder Kupferlegierungspfropfen 216a, 216b, ..., gefüllt sind. Ferner kann das Zwischenschichtdielektrikumsmaterial der Schicht 203 darauf ausgebildet eine CMP-Stoppschicht 217 aufweisen, die so gestaltet ist, dass diese eine hohe Diffusionsblockierungseigenschaft in Bezug auf die kupferenthaltende Schicht 216 aufweist. Beispielsweise kann in einer anschaulichen Ausführungsform die Schicht 217 aus Siliziumnitrid, Siliziumkarbid, stickstoffangereichertem Siliziumkarbid und dergleichen aufgebaut sein. Die CMP-Stoppschicht 217 kann vorgesehen sein, um im Wesentlichen einen Kontakt von Kupfermaterial mit dem Zwischenschichtdielektrikumsmaterial 203 während eines nachfolgenden CMP-Prozesses zum Abtragen des überschüssigen Materials der Kupferschicht 216 zu verhindern. Wie zuvor erläutert ist, kann der Barrierenschichtstapel 215 so vorgesehen sein, dass dieser zwei oder mehrere Teilschichten mit äußerst reduzierter Dicke im Vergleich zu konventionellen Barrierenschichten aufweist und somit können während des CMP-Prozesses zum Entfernen des überschüssigen Kupfers oder der Kupferlegierung selbst sehr geringe Mengen des Kupfers mit dem darunter liegenden Zwischenschichtdielektrikumsmaterial in Kontakt kommen. Beispielsweise ist Siliziumdioxid dafür bekannt, dass es eine moderat hohe Kupferdiffusion ermöglicht, die auf Grund der Nähe zu empfindlichen Bauteilgebieten der Schaltungselemente 210 als ungeeignet erachtet werden kann. Folglich kann nach dem Herstellen des Zwischenschichtdielektrikums 203 die Schicht 217 abgeschieden werden, das eine ausgezeichnete Fähigkeit zur Kupferabblockung aufweist, wobei zusätzlich die Schicht 217 eine erhöhte Härte besitzen kann, um damit eine wesentliche Erosion des dielektrischen Materials der Schicht 203 zu vermeiden. Somit kann der CMP-Prozess so ausgeführt werden, dass das überschüssige Kupfer der Schicht 216 effizient abgetragen wird, wobei das Eindringen von Kupfer in das Zwischenschichtdielektrikumsmaterial der Schicht 203 deutlich reduziert wird. Somit kann die Wahrscheinlichkeit des Eindringens von Kupferatomen in empfindliche Bauteilbereiche der Schaltungselemente 210 deutlich reduziert werden.
  • 2g zeigt schematisch das Halbleiterbauelement 200 gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung. In dieser Ausführungsform weist der Barrierenschichtstapel 215 mindestens eine zusätzliche Schicht 218 auf, die auf dem dielektrischen Material der Schicht 203 gebildet werden kann, um damit eine verbesserte Haftung zu der wolframbasierten Schicht 207 zu erreichen. In einer anschaulichen Ausführungsform ist die Schicht 218 aus Wolfram aufgebaut, das mittels CVD oder ALD abhängig von den Prozesserfordernissen, abgeschieden werden kann. In einer anschaulichen Ausführungsform können die Schichten 218 und 207 in-situ gebildet werden, wobei die entsprechende Vorstufenmaterialmischung in geeigneter Weise so eingestellt werden kann, dass zunächst Wolfram und dann Wolframnitrid abgeschieden wird. In noch anderen Ausführungsformen können die Schichten 218, 208 und 207 hergestellt werden, ohne dass die Vakuumbedingung unterbrochen wird, wodurch eine Oxidation der Schichten 218 und 208 im Wesentlichen verhindert wird. In einigen anschaulichen Ausführungsformen kann die Schicht 218 im Wesentlichen aus Wolfram aufgebaut sein und kann auf der Grundlage von Sputter-Abscheideverfahren aufgebracht werden, um damit eine erhöhte Schichtdicke an der Unterseite 204c der Kontaktöffnungen 204a, 204b vorzusehen. Folglich kann das stöchiometrische Verhältnis der Schicht 207, die auf der Schicht 218 abgeschieden ist, in entsprechender Weise an der Unterseite 204c eingestellt werden, wodurch eine verbesserte Grenzfläche zu den darunter liegenden Kontaktgebiet 212, 211 erhalten wird. In anderen Ausführungsformen kann die Schicht 207 in Form einer Wolframnitridschicht auf der Grundlage der zuvor beschriebenen Abscheidetechniken aufgebracht werden, während die Schicht 218, die beispielsweise aus Wolfram aufgebaut ist, auf der Schicht 207 abgeschieden werden kann, wodurch ein Mittel bereitgestellt wird, um das stöchiometrische Verhältnis insbesondere an der Unterseite 204c einzustellen. Danach kann das Abscheiden der Schicht 208 erfolgen, oder in anderen anschaulichen Ausführungsformen kann das äußerst leitende Material, etwa Kupfer oder Kupferlegierung direkt abgeschieden werden, ohne dass die Schicht 208 vorgesehen ist.
  • Es gilt also: Die vorliegende Erfindung stellt eine verbesserte Technik bereit, die die Herstellung von Kontaktpfropfen mit einer deutlich erhöhten Leitfähigkeit im Vergleich zu konventionellen wolframbasierten Kontaktpfropfen ermöglicht. Zu diesem Zweck wird eine äußerst effiziente kupferblockierende Barrierenschicht auf der Grundlage von Wolfram und, in anschaulichen Ausführungsformen, auf der Grundlage von Wolframnitrid gebildet, die mit ausgezeichneter Stufenbedeckung auf der Grundlage geeigneter Abscheideverfahren, etwa ALD, CVD, und dergleichen gebildet werden kann. Auf Grund des Vorsehens der wolframbasierten Barrierenschicht kann eine Kupferprozesssequenz ausgeführt werden, ohne dass im Wesentlichen die Gefahr der Diffusion von Kupfer in empfindliche Bauteilgebiete auftritt. Somit kann in einigen anschaulichen Ausführungsformen eine tantalbasierte Barrierenschicht abgeschieden werden, woran sich ein typischer Kupferfüllprozess anschließt, der das Abscheiden einer entsprechenden Kupfersaatschicht beinhalten kann. Während der Herstellung der wolframbasierten Barrierenschicht und der Herstellung optionaler weiterer Barrierenschichten und des Einfüllens des Kupfers oder der Kupferlegierung können die Prozesstemperaturen bei 400 Grad C und deutlich weniger, beispielsweise bei 300 Grad C gehalten werden, wodurch im Wesentlichen die thermische Stabilität eines Kontaktmaterials, das in dem betrachteten Schaltungselementen vorgesehen ist, gewährleistet ist. Beispielsweise können in anschaulichen Ausführungsformen äußerst leitende Metallsilizide, etwa Nickelsilizid, vorgesehen sein, wobei dessen thermische Stabilität während der nachfolgenden Bearbeitung zur Herstellung des wolframenthaltenden Barrierenschichtstapels und der kupferbasierten Kontaktprozesssequenz nicht beeinträchtigt wird. Ferner ist der Kontaktbildungsprozess auch mit beliebigen Transistorarchitekturen verträglich, etwa SOI-Transistoren, Transistoren mit erhöhten Drain- und Sourcegebieten, Transistoren mit einer oder mehreren Quellen für mechanische Spannungen, um damit eine entsprechende Verformung in den Kanalgebieten zu erzeugen, und dergleichen. Auf Grund der Technik der Atomlagenabscheidung, die für die Herstellung der wolframbasierten Barrierenschicht eingesetzt werden kann, kann ferner die Gesamtdicke des Barrierenschichtstapels reduziert werden, wodurch zusätzlich zu einem geringeren Kontaktwiderstand beigetragen wird. Ferner bietet das verbesserte Abscheideverfahren für eine wolframbasierte Barrierenschicht die Möglichkeit, eine weitere Bauteilgrößenreduzierung durchzuführen, da selbst Kontaktpfropfen mit hohem Aspektverhältnis auf der Grundlage der zuvor beschriebenen Techniken effizient hergestellt werden können.

Claims (18)

  1. Halbleiterbauelement mit: einem Transistorelement (200) mit einem Kontaktgebiet (212), das einen Kontakt zu einem Drain- oder Source-Gebiet herstellt; und einem Kontaktpfropfen (216a, 216b), der in einer dielektrischen Schicht (203) gebildet ist, um damit eine Verbindung zu dem Kontaktgebiet (212) herzustellen, wobei der Kontaktpfropfen (216a, 216b) Kupfer und eine wolframenthaltende Barrierenschicht aufweist, die die dielektrische Schicht (203) von dem Kontaktpfropfen (216a, 216b) trennt; wobei die Barrierenschicht eine erste Unterschicht (207), die Wolfram und Stickstoff aufweist, und eine zweite Unterschicht (208), die zwischen dem Kupfer (216a, 216b) und der ersten Unterschicht (207) angeordnet ist, umfasst; und eine Gesamtdicke der Barrierenschicht, die aus der ersten Unterschicht (207) und der zweiten Unterschicht (208) aufgebaut ist, 50 nm oder weniger beträgt.
  2. Halbleiterbauelement nach Anspruch 1, wobei die zweite Unterschicht (208) Tantal aufweist.
  3. Halbleiterbauelement nach Anspruch 2, wobei die zweite Unterschicht (208) auf der ersten Unterschicht (207) gebildet ist.
  4. Halbleiterbauelement nach Anspruch 1, wobei eine Dicke der ersten Unterschicht (207) ungefähr 10 nm oder weniger beträgt.
  5. Halbleiterbauelement nach Anspruch 4, wobei die Dicke ungefähr 5 nm oder weniger beträgt.
  6. Halbleiterbauelement nach Anspruch 1, wobei die erste Unterschicht (207) auf der dielektrischen Schicht (203) gebildet ist.
  7. Halbleiterbauelement nach Anspruch 1, das ferner eine Haftschicht (218) aufweist, die auf der dielektrischen Schicht (203) und dem Kontaktgebiet (212) gebildet ist, wobei die erste Unterschicht (207) auf der Haftschicht (218) angeordnet ist.
  8. Halbleiterbauelement nach Anspruch 1, wobei das Kontaktgebiet (212) Nickelsilizid aufweist.
  9. Verfahren mit: Bilden einer leitenden Barrierenschicht mit Wolfram in einer Kontaktöffnung (204A) eines Transistorelements (210) auf der Grundlage eines wolframenthaltenden Vorstufenmaterials, wobei die Kontaktöffnung (204A) ein Draingebiet oder ein Sourcegebiet kontaktiert; und Füllen der Kontaktöffnung (204A) mit einem kupferenthaltenden Material (216A); wobei Bilden einer leitenden Barriereschicht ein Durchführen eines thermischen Atomlagenabscheidungsprozesses (230) zum Deponieren einer Wolfram enthaltenden ersten Barriereschicht (207) umfasst; eine Prozesstemperatur des thermischen Atomlagenabscheidungsprozesses (230) bei 400°C und darunter gehalten wird; und eine Abscheideatmosphäre des thermischen Atomlagenabscheidungsprozesses (230) auf der Basis von Wolframhexafluorid WF6, Borhydrid B2H6 und Ammoniak NH3 als Reaktionsgase eingerichtet ist.
  10. Verfahren nach Anspruch 9, wobei Bilden der leitenden Barrierenschicht Abscheiden einer wolfram- und stickstoffenthaltenden Schicht (207) umfasst.
  11. Verfahren nach Anspruch 10, das ferner umfasst: Abscheiden mindestens eines weiteren Barrierenmaterials (208) nach dem Abscheiden der wolfram- und stickstoffenthaltenden Schicht (207).
  12. Verfahren nach Anspruch 11, wobei das mindestens eine weitere Barrierenmaterial (208) Tantal umfasst.
  13. Verfahren nach Anspruch 10, das ferner umfasst: Abscheiden mindestens eines weiteren Barrierenmaterials (208) vor dem Abscheiden der wolfram- und stickstoffenthaltenden Schicht.
  14. Verfahren nach Anspruch 13, wobei eine Substrattemperatur bei ungefähr 400 Grad C oder weniger gehalten wird, wenn die leitende Barrierenschicht gebildet wird.
  15. Verfahren nach Anspruch 9, das ferner umfasst: Bilden einer Saatschicht (209) auf der Barrierenschicht (207, 208; 218, 207, 208) und Einfüllen des kupferenthaltenden Materials (216A) auf der Grundlage eines elektrochemischen Abscheideprozesses.
  16. Verfahren nach Anspruch 9, wobei Bilden der leitenden Barrierenschicht umfasst: Bilden einer Wolframnitridschicht (207) und Bilden einer tantalenthaltenden Schicht (208) auf der Wolframnitridschicht (207).
  17. Verfahren nach Anspruch 16, wobei die Wolframnitridschicht (207) und die tantalenthaltende Schicht (208) ohne Unterbrechung einer Vakuumbedingung gebildet werden.
  18. Verfahren nach Anspruch 9, das ferner Vorbehandeln eines Kontaktgebiets (212), das in der Kontaktöffnung (204A) freigelegt ist, vor dem Bilden der leitenden Barrierenschicht umfasst.
DE200510052001 2005-10-31 2005-10-31 Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben Active DE102005052001B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE200510052001 DE102005052001B4 (de) 2005-10-31 2005-10-31 Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben
US11/427,206 US20070096221A1 (en) 2005-10-31 2006-06-28 Semiconductor device comprising copper-based contact plug and a method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE200510052001 DE102005052001B4 (de) 2005-10-31 2005-10-31 Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben

Publications (2)

Publication Number Publication Date
DE102005052001A1 DE102005052001A1 (de) 2007-05-03
DE102005052001B4 true DE102005052001B4 (de) 2015-04-30

Family

ID=37912789

Family Applications (1)

Application Number Title Priority Date Filing Date
DE200510052001 Active DE102005052001B4 (de) 2005-10-31 2005-10-31 Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben

Country Status (2)

Country Link
US (1) US20070096221A1 (de)
DE (1) DE102005052001B4 (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4755894B2 (ja) * 2005-12-16 2011-08-24 株式会社東芝 半導体装置およびその製造方法
JP2008117853A (ja) * 2006-11-01 2008-05-22 Toshiba Corp 半導体装置およびその製造方法
US20090218692A1 (en) * 2008-02-29 2009-09-03 Roland Hampp Barrier for Copper Integration in the FEOL
JP5431752B2 (ja) * 2009-03-05 2014-03-05 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
DE102010028458A1 (de) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
CN105762105A (zh) * 2014-12-17 2016-07-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10304773B2 (en) * 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
US11437284B2 (en) * 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
DE19844451A1 (de) * 1998-02-09 1999-08-26 United Microelectronics Corp Sperrschicht und Herstellungsverfahren dafür
US6727592B1 (en) * 2002-02-22 2004-04-27 Advanced Micro Devices, Inc. Copper interconnect with improved barrier layer
US6731006B1 (en) * 2002-12-20 2004-05-04 Advanced Micro Devices, Inc. Doped copper interconnects using laser thermal annealing
US20050035460A1 (en) * 2003-08-14 2005-02-17 Horng-Huei Tseng Damascene structure and process at semiconductor substrate level

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100243286B1 (ko) * 1997-03-05 2000-03-02 윤종용 반도체 장치의 제조방법
US6333225B1 (en) * 1999-08-20 2001-12-25 Micron Technology, Inc. Integrated circuitry and methods of forming circuitry
JP3449960B2 (ja) * 2000-02-25 2003-09-22 沖電気工業株式会社 半導体装置の製造方法
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6541281B2 (en) * 2001-07-16 2003-04-01 Tachyon Semiconductors Corporation Ferroelectric circuit element that can be fabricated at low temperatures and method for making the same
US6716744B2 (en) * 2002-05-06 2004-04-06 Sharp Laboratories Of America, Inc. Ultra thin tungsten metal films used as adhesion promoter between barrier metals and copper
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
DE19844451A1 (de) * 1998-02-09 1999-08-26 United Microelectronics Corp Sperrschicht und Herstellungsverfahren dafür
US6727592B1 (en) * 2002-02-22 2004-04-27 Advanced Micro Devices, Inc. Copper interconnect with improved barrier layer
US6731006B1 (en) * 2002-12-20 2004-05-04 Advanced Micro Devices, Inc. Doped copper interconnects using laser thermal annealing
US20050035460A1 (en) * 2003-08-14 2005-02-17 Horng-Huei Tseng Damascene structure and process at semiconductor substrate level

Also Published As

Publication number Publication date
US20070096221A1 (en) 2007-05-03
DE102005052001A1 (de) 2007-05-03

Similar Documents

Publication Publication Date Title
DE102005052001B4 (de) Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben
DE102006040764B4 (de) Halbleiterbauelement mit einem lokal vorgesehenem Metallsilizidgebiet in Kontaktbereichen und Herstellung desselben
DE102007004867B4 (de) Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE112009000670B4 (de) Verfahren zum Herstellen einer Metall-Gate-Struktur
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102010064288B4 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
EP1724827B1 (de) Verfahren zur Herstellung einer Leitstruktur mit Barrieren-Schichtstapel und entsprechende Leitstruktur
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE112006000465T5 (de) Barriereschichten für leitende Strukturmerkmale
DE102006056624B4 (de) Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102007057682A1 (de) Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102006056620B4 (de) Halbleiterstruktur und Verfahren zu ihrer Herstellung
DE10208904B4 (de) Verfahren zur Herstellung unterschiedlicher Silicidbereiche auf verschiedenen Silicium enthaltenden Gebieten in einem Halbleiterelement
DE102005046976B4 (de) Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
DE102014109352B4 (de) Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
DE10345374B4 (de) Halbleiterbauteil mit einem Nickel/Kobaltsilizidgebiet, das in einem Siliziumgebiet gebildet ist und Verfahren zu seiner Herstellung
DE102007004884A1 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final