DE102014109352B4 - Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung - Google Patents

Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung Download PDF

Info

Publication number
DE102014109352B4
DE102014109352B4 DE102014109352.6A DE102014109352A DE102014109352B4 DE 102014109352 B4 DE102014109352 B4 DE 102014109352B4 DE 102014109352 A DE102014109352 A DE 102014109352A DE 102014109352 B4 DE102014109352 B4 DE 102014109352B4
Authority
DE
Germany
Prior art keywords
conductive
layer
diffusion barrier
barrier layer
contact plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014109352.6A
Other languages
English (en)
Other versions
DE102014109352A1 (de
Inventor
Yu-Hung Lin
Sheng-Hsuan Lin
Chih-Wei Chang
You-Hua Chou
Chia-Lin Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/313,111 external-priority patent/US10079174B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014109352A1 publication Critical patent/DE102014109352A1/de
Application granted granted Critical
Publication of DE102014109352B4 publication Critical patent/DE102014109352B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Kontaktstöpsel (120), der Folgendes umfasst:eine Zwei-Schichten-Struktur (110), die Folgendes umfasst:einen leitenden Kern (110a); undeine leitende Auskleideschicht (110b) auf einer Seitenwand und einer Bodenfläche des leitenden Kerns (110a), wobei die leitende Auskleideschicht (110b) Kobalt oder Ruthenium umfasst;eine Diffusionsbarriere-Schicht (108) auf einer Seitenwand und einer Bodenfläche der Zwei-Schichten-Struktur (110); und einen leitenden Film (106) auf einer Seitenwand der Diffusionsbarriere-Schicht (108) umfasst, wobei die Diffusionsbarriere-Schicht (108) zwischen dem leitenden Film (106) und der Zwei-Schichten-Struktur (110) angeordnet ist und wobei gegenüberliegende Seitenwände der Zweischichten-Struktur (110) und der Diffusionsbarrieren-Schicht (108) nicht parallel sind.

Description

  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen verwendet, beispielsweise PCs, Mobiltelefonen, Digitalkameras und anderer elektronischer Ausrüstung. Im Allgemeinen umfasst eine typische Halbleitervorrichtung ein Substrat, das aktive Vorrichtungen aufweist, etwa Transistoren und Kondensatoren. Diese aktiven Vorrichtungen sind anfänglich von einander isoliert und Verbindungsstrukturen werden nachfolgend über den aktiven Vorrichtungen ausgebildet, um funktionale Schaltungen zu erzeugen. Solche Verbindungsstrukturen können Kontaktstöpsel umfassen, die mit den aktiven Vorrichtungen auf dem Substrat elektrisch verbunden sein können.
  • So zeigt die DE 11 2010 003 6519 T5 eine Interconnect-Halbleiterstruktur vom Einzel-oder Doppel-Damaszenertyp, umfassend ein dielektrisches Material mit wenigstens einer Öffnung darin. Die Seitenwände der wenigstens einen Öffnung sind mit einer optionalen Diffusionsbarrieren-Schicht, einer Metallschicht, einer leitenden Plattierungs-Keimschicht und einer leitenden Struktur bedeckt, wobei die gegenüberliegenden Seitenwände der jeweiligen Öffnung, der Metallschicht, der leitenden Plattierungs-Keimschicht und der leitenden Struktur zueinander parallel sind.
  • Ein typischer Kontaktstöpsel kann Wolfram (W) umfassen, aufgrund seines niedrigen spezifischen Widerstands (etwa 5·4 µΩ·cm) und seiner hohen Zuverlässigkeit. Während die Abmessungen von integrierten Schaltungen in fortschrittlichen Anwendungen von Technologieknoten jedoch fortlaufend auf kleinere Sub-Mikrometer-Größen skaliert werden, wird es zu einer zunehmenden Herausforderung, den Widerstand von Kontaktstöpseln zu verringern, während die Größe der Kontaktstöpsel sinkt. Verbesserte Strukturen und Verfahren zu ihrer Herstellung werden benötigt.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht im Maßstab gezeichnet sind. In Wirklichkeit können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1 zeigt Schnittansichten eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen.
    • 2 bis 9 zeigen Schnittansichten von verschiedenen Zwischenschritten der Herstellung eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen.
    • 10 zeigt einen Verfahrensfluss zur Herstellung eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale des angegebenen Gegenstands zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmals ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt sein müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und erzwingt als solche keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und Ähnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals mit einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Bevor die gezeigten Ausführungsformen speziell behandelt werden, werden Aspekte der vorliegenden Offenbarung allgemein behandelt. Allgemein sehen hier beschriebene Ausführungsformen einen zusammengesetzten Kontaktstöpsel vor. Der zusammengesetzte Kontaktstöpsel umfasst zumindest eine Zwei-Schichten-Struktur, welche beispielsweise eine leitende Kobalt-(Co)- oder Ruthenium-(Ru)-Auskleideschicht auf Seitenwänden und einer Bodenfläche aus einem leitenden Wolfram-(W)-, Ru- oder Co-Kern aufweist. Es wurde herausgefunden, dass eine solche zusammengesetzte Kontaktstöpsel-Struktur herunterskaliert werden kann (z.B. für fortschrittliche Anwendungen von Technologieknoten), während ein niedriger spezifischer Widerstand immer noch beibehalten wird. Ein weiteres vorteilhaftes Merkmal von manchen Ausführungsformen sind die guten Hafteigenschaften. Ausführungsformen, die eine Diffusionsbarriere-Schicht verwenden (die z.B. Tantal (Ta) oder Tantalnitrid (TaN) umfassen), zeigen weiter einen niedrigen Widerstand und eine gute Haftung. Ein weiteres vorteilhaftes Merkmal von manchen Ausführungsformen liegt darin, dass der Zwei-Schichten-Kontaktstöpsel eine hohe Aktivierungsenergie und einen hohen Schmelzpunkt zeigt, was für einen guten Elektromigrations (EM)-Widerstand und eine gute elektrische Leistungsfähigkeit sorgt. Weiter können, indem die Winkel der Seitenwände und/oder die Dicke von verschiedenen Schichten in der Zwei-Schichten-Struktur gesteuert werden, die Belastungs-Charakteristika des Kontaktstöpsels feinabgestimmt werden, gestützt auf das Design der Vorrichtung.
  • Für allgemein hier beschriebene Ausführungsformen können ein oder mehrere vorteilhafte Merkmale vorgesehen sein, einschließlich niedrigem Widerstand, hoher Aktivierungsenergie, hohem Schmelzpunkt, einstellbarer Belastung und guter Haftung zwischen der Zwei-Schichten-Struktur und der Diffusionsbarriere-Schicht, wie detaillierter mit Bezug auf die gezeigten Ausführungsformen beschrieben wird.
  • Bezieht man sich jetzt auf 1, so ist eine Schnittansicht eines beispielhaften zusammengesetzten Kontaktstöpsels 120 gezeigt. Der Kontaktstöpsel 120 steht mit einem Silizidbereich 104 einer darunter liegenden Struktur elektrisch in Kontakt, etwa einem Silizid-Source/Drain-Bereich oder einer Silizid-Gate-Elektrode. In der gezeigten Ausführungsform ist der Silizid-Bereich 104 ein selbstausgerichtetes Silizid (Salizid, Akronym für self-aligned silicide), das ausgebildet wird, indem ein leitender Film 106 ausgeheilt wird. Der leitende Film kann auf Seitenwänden und einer Bodenfläche des Kontaktstöpsels 120 vor dem Ausheilen angeordnet sein und nach dem Ausheilen können Abschnitte des leitenden Films 106 auf Seitenwänden des Kontaktstöpsels 120 verbleiben. Der übrige leitende Film 106 auf Seitenwänden des Kontaktstöpsels 120 kann daher rühren, dass der leitende Film 106 weniger stark mit dem Material der dielektrischen Schicht 112 reagiert. Darüber hinaus kann in manchen Ausführungsformen ein Teil des leitenden Films 106 auf einer Bodenfläche des Kontaktstöpsels 120 verbleiben, selbst nach dem Ausheilen. In manchen Ausführungsformen kann der leitende Film 106 eine Co-, W-, Titan-(Ti)-, Nickel-(Ni)- und ähnliche leitende Auskleideschicht sein, die verwendet werden kann, um den Silizid-Bereich 104 auszubilden, der TiSix, NiSix, WSix, CoSix und Ähnliches umfasst. Die darunter liegende silizidierte Struktur (z.B. das Substrat 102) kann beispielsweise Silizium (Si), Silizium-Germanium (SiGe), Silizium-Phosphor (SiP), Siliziumkarbid (SiC), Kombinationen daraus und Ähnliches umfassen. In anderen betrachteten Ausführungsformen kann die darunter liegende Struktur auch ein Metall oder ein anderer Leiter sein.
  • Wie weiter in 1 gezeigt ist, umfasst der Kontaktstöpsel 120 eine Diffusionsbarriere-Schicht 108 auf Seitenwänden und einer Bodenfläche des Kontaktstöpsels 120. Die Diffusionsbarriere-Schicht 108 kann auf dem leitenden Film 106 angeordnet sein. Der leitende Film 106 kann beispielsweise zwischen der Diffusionsbarriere-Schicht 108 und dem Substrat 102/dem Silizid-Bereich 104 angeordnet sein. In verschiedenen Ausführungsformen kann die Diffusionsbarriere-Schicht ein Material mit relativ niedrigem spezifischem Widerstand umfassen, etwa Ta oder TaN, und die Diffusionsbarriere-Schicht 108 kann auch als Haftschicht für den Kontaktstöpsel 120 dienen.
  • Der Kontaktstöpsel 120 umfasst weiter eine Zwei-Schichten-Struktur 110. Die Diffusionsbarriere-Schicht 108 ist auf Seitenwänden und einer Bodenfläche der Zwei-Schichten-Struktur 110 angeordnet. In verschiedenen Ausführungsformen kann die Diffusionsbarriere-Schicht 108 die Diffusion des leitenden Materials der Zwei-Schichten-Struktur 110 in die umgebenden Vorrichtungsmerkmale (z.B. die dielektrische Schicht 112) verringern oder verhindern. Die gezeigte Zwei-Schichten-Struktur 110 umfasst einen leitenden Kern 110a und eine leitende Auskleideschicht 110b, die auf Seitenwänden und einer Bodenfläche des leitenden Kerns 110a angeordnet ist. Die leitende Auskleideschicht 110b kann beispielsweise Co oder Ru umfassen und der leitende Kern 110a kann W, Co oder Ru umfassen. Das leitende Material des leitenden Kerns 110a und der leitenden Auskleideschicht 110b kann jedoch verschiedene Materialien umfassen. Verschiedene Ausführungsformen können beispielsweise eine Zwei-Schichten-Struktur 110 umfassen, die eine leitende Co- oder Ru-Auskleideschicht 110b mit einem leitenden W-Kern 110a hat, eine leitende Co-Auskleideschicht 110b mit einem leitenden Ru-Kern 110a oder eine leitende Ru-Auskleideschicht 110b mit einem leitenden Co-Kern 110a.
  • Es wurde herausgefunden, dass die obigen Kombinationen von leitenden Materialien für die Zwei-Schichten-Struktur 110 aufgrund von ähnlichen Charakteristika des spezifischen Widerstands geeignet sind. Co hat beispielsweise einen spezifischen Widerstand von 62,4 nΩ·m, W hat einen spezifischen Widerstand von 56,0 nΩ·m und Ru hat einen spezifischen Widerstand von 71,0 nΩ·m. Die Verwendung von Co oder Ru für die leitende Auskleideschicht 110a sorgt für eine gute Haftung (z.B. kann die leitende Auskleideschicht 110a als Haftschicht wirken) und verringert die Diffusion des Materials des leitenden Kerns 110a (z.B. W in manchen Ausführungsformen) in die umgebenden Vorrichtungsschichten. Somit kann Ta oder TaN, die vorteilhaft einen niedrigen spezifischen Widerstand haben, wirksam als eine zweite Diffusionsbarriere-Schicht verwendet werden, um die Diffusion der Materialien der Zwei-Schichten-Struktur 110 zu verringern.
  • Weiter hat in manchen Ausführungsformen die Diffusionsbarriere-Schicht 106 eine Dicke T1 von etwa 0,5 nm bis etwa 10 nm. Die leitende Auskleideschicht 110b hat eine Dicke T2 entlang dem Boden des Kontaktstöpsels 120 und eine Dicke T3 entlang Seitenwänden des Kontaktstöpsels 120. In manchen Ausführungsformen kann die Dicke T2 etwa 10 nm bis etwa 200 nm sein und die Dicke T3 kann 1,0 nm bis etwa 20 nm sein. Der leitende Kern 110a hat eine Dicke T4 (z.B. gemessen von einer oberen Fläche zu einer unteren Fläche) von etwa 10 nm bis etwa 200 nm. Die Gesamthöhe des Kontaktstöpsels 120 (gemessen von einer oberen Fläche zu einer unteren Fläche oder Dicke T1 plus Dicke T2 plus Dicke T4 in 1) ist etwa 50 nm bis etwa 200 nm in den gezeigten Ausführungsformen. Die Dicke von sowohl dem leitenden Kern 110a als auch der leitenden Auskleideschicht 110b kann größer als die Dicke der Diffusionsbarriere-Schicht 106 sein (z.B. können die Dicken T4 und T2 beide größer als die Dicke T1 sein). In den verschiedenen Ausführungsformen können die Seitenwand-Winkel von verschiedenen Schichten in dem zusammengesetzten Kontaktstöpsel 120 und/oder die Dicken T1, T2, T3 und/oder T4 so ausgewählt sein, dass angestrebte Belastungs-Charakteristika bereitgestellt werden, gestützt auf das Design der Vorrichtung. Es wurde beispielsweise beobachtet, das die Beweglichkeit von Elektronenlöchern und/oder Strom des Silizid-Bereichs 104 beeinflusst werden kann, gestützt auf die Belastungs-Charakteristika des Kontaktstöpsels 120, und dass solche Belastungs-Charakteristika feinabgestimmt werden können, indem geeignete Seitenwand-Winkel und/oder relative Dicken für verschiedene Schichten (z.B. die Diffusionsbarriere-Schicht 106, die leitende Auskleideschicht 110b und/oder den leitenden Kern 110a) in dem Kontaktstöpsel 120 ausgewählt werden.
  • Alle hier offenbarten Abmessungen dienen nur als Beispiele und sollen nicht einschränkend wirken. Es ist vorgesehen, dass andere Strukturen und Verfahren, die Schichten und Merkmale dieser Abmessungen sowie anderer Abmessungen verwenden, einem Fachmann klar werden, sobald er die vorliegende Offenbarung liest - und dass solche anderen Strukturen, Verfahren und Abmessungen möglich sind.
  • 2 bis 9 zeigen Schnittansichten von verschiedenen Zwischenstufen der Herstellung eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen. 2 zeigt einen Die 100 mit einem Substrat 102 und einer dielektrischen Schicht 112, die über dem Substrat 102 angeordnet ist. In nachfolgenden Verfahrensschritten kann ein zusammengesetzter Kontaktstöpsel 120 in der dielektrischen Schicht 112 ausgebildet werden, um mit dem darunter liegenden Substrat 102 elektrisch verbunden zu werden. Das Substrat 102 kann beispielsweise ein Source/Drain-Bereich oder eine Gate-Elektrode einer aktiven Vorrichtung sein (z.B. eines Transistors). Das Substrat 102 kann beispielsweise ein Bulk-Siliziumsubstrat sein, dotiert oder undotiert, oder eine aktive Schicht eines Halbleiter-auf-Isolator-(SOI)-Substrats. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus Halbleitermaterial, etwa Silizium, das auf einer Isolierschicht ausgebildet ist. Die Isolierschicht kann beispielsweise eine vergrabene Oxid-(BOX)-Schicht oder eine Siliziumoxid-Schicht sein. Die Isolierschicht ist auf einem Substrat vorgesehen, etwa einem Silizium- oder Glas-Substrat. Alternativ kann das Substrat 102 einen anderen Elementhalbleiter umfassen, etwa Germanium; einen Verbindungshalbleiter einschließlich SiC, Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumphosphid (InP), Indiumarsenid (InAs) und/oder Indiumantimonid (InSb); einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen daraus. Andere Substrate, etwa Mehrschicht- oder Gradientensubstrate können auch verwendet werden. Weiter kann das Substrat 120 auch ein Polysilizium, ein Metall oder ein anderes leitendes Material umfassen.
  • Eine dielektrische Schicht 112 ist über dem Substrat 102 angeordnet. In verschiedenen Ausführungsformen kann die dielektrische Schicht 112 eine erste dielektrische Zwischenschicht (ILD)/Zwischenmetallisierungs-(IMD)-Schicht sein. Die dielektrische Schicht 112 kann beispielsweise aus einem Low-k-Dielektrikum mit einem k-Wert von weniger als etwa 4,0 oder sogar etwa 2,8 ausgebildet sein. In manchen Ausführungsformen kann die dielektrische Schicht 112 Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), FSG, SiOxCy, Spin-On-Glas, Spin-On-Polymere, Silizium-Kohlenstoff-Material, Verbindungen daraus, Verbundmaterialien daraus, Kombinationen daraus oder Ähnliches umfassen, das durch jedes geeignete Verfahren abgeschieden wird, etwa Rotationsbeschichtung, chemischer Gasphasenabscheidung (CVD), CVD im Plasma (PECVD). Die dielektrische Schicht 112 kann auch mehrere Schichten umfassen, etwa Isolierschichten, Haftschichten, Pufferschichten und Ähnliches.
  • Wie weiter in 2 gezeigt ist, ist ein strukturiertes Photoresist 114 über der dielektrischen Schicht 112 angeordnet. Das Photoresist 114 kann als homogene Schicht über der dielektrischen Schicht 112 mittels eines Spin-On-Verfahrens, eines Laminierverfahrens oder Ähnlichem abgeschieden werden. Als nächstes können Abschnitte des Photoresist 114 mittels einer Photomaske (nicht gezeigt) belichtet werden. Belichtete oder unbelichtete Abschnitte des Photoresist 114 werden dann entfernt, abhängig davon, ob ein negativer oder ein positiver Resist verwendet wird, was Öffnungen 116 erzeugt, die sich durch das Photoresist 114 erstrecken.
  • Wie in 3 gezeigt ist, kann die dielektrische Schicht 112 mittels des Photoresist 114 als Strukturiermaske strukturiert werden. Trocken- und/oder Nassätztechniken können beispielsweise verwendet werden, um Abschnitte der dielektrischen Schicht 112 zu ätzen, die durch die Öffnung 116 freigelegt sind. Das Ätzen erweitert die Öffnung 116 durch die dielektrische Schicht 112. Die Öffnung 116 kann einen Bereich des darunter liegenden Substrats 102 freilegen, etwa einen Source/Drain-Bereich, eine Gate-Elektrode und Ähnliches. Nachfolgend wird das Photoresist 114 beispielsweise durch Plasmaätz- (engl. „ashing“) und/oder nasse Abziehverfahren („wet strip“) entfernt. Obwohl nur eine Öffnung 116 gezeigt ist, kann jede Anzahl von Öffnungen in der dielektrischen Schicht 112 strukturiert sein (z.B. unter Verwendung einer Kombination aus Photolithographie und Ätzen), abhängig von dem Design der Vorrichtung.
  • In manchen Ausführungsformen können andere Schichten in dem Strukturierverfahren verwendet werden. Eine oder mehrere Hartmasken (nicht gezeigt) können beispielsweise auf der dielektrischen Schicht 112 vor dem Ausbilden des Photoresist 114 ausgebildet werden; in diesen Ausführungsformen wird die Struktur von dem Photoresist 114 zuerst der einen oder mehreren Hartmasken aufgeprägt und die strukturierten Hartmasken werden beim Strukturieren der dielektrischen Schicht 112 verwendet. Im Allgemeinen können eine oder mehrere Hartmaskenschichten in Ausführungsformen nützlich sein, in denen das Ätzverfahren eine Maskierung erfordert, zusätzlich zu der Maskierung, die von dem Photoresist-Material bereitgestellt wird. Während eines nachfolgenden Ätzverfahrens, um die dielektrische Schicht 112 zu strukturieren, wird die strukturierte Photoresistmaske auch geätzt, obwohl die Ätzrate des Photoresist-Materials nicht so hoch sein muss wie die Ätzrate der dielektrischen Schicht 112. Wenn das Ätzverfahren so vorgesehen ist, dass die strukturierte Photoresistmaske verbraucht werden kann, bevor das Ätzverfahren auf der dielektrischen Schicht 112 beendet ist, kann eine zusätzliche Hartmaske verwendet werden. Das Material der Hartmaskenschicht oder -schichten ist so ausgewählt, dass die eine oder mehreren Hartmaskenschichten eine niedrigere Ätzrate zeigen als die darunter liegenden Materialien, etwa die Materialien der dielektrischen Schicht 112.
  • 4 zeigt das Ausbilden eines leitenden Films 106 auf Seitenwänden und einer Bodenfläche der Öffnung 116. Der leitende Film 106 kann weiter über der dielektrischen Schicht 112 angeordnet sein. Der leitende Film 106 kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Der leitende Film 106 kann ein geeignetes leitendes Material mit einer geeigneten Dicke umfassen, um einen Silizid-Bereich auf oberen Abschnitten des Substrats 102 (z.B. den Silizid-Bereich 104) in nachfolgenden Verfahrensschritten auszubilden. In manchen Ausführungsformen kann der leitende Film 106 beispielsweise W, Co, Ti, Ni und Ähnliches umfassen, mit einer Dicke von etwa 3,0 bis etwa 25 nm. In manchen Ausführungsformen kann das Material des leitenden Films 106 weiter so ausgewählt sein, dass die Gesamtzahl von Prozesskammern verringert wird, die benötigt wird, um den Kontaktstöpsel 120 auszubilden. Wenn der leitende Film 106 und der leitende Kern 110a beispielsweise beide W umfassen, kann die gleiche Prozesskammer wiederverwendet werden, um unterschiedliche Abschnitte des Kontaktstöpsels 120 auszubilden.
  • Als nächstes wird in 5 eine Diffusionsbarriere-Schicht 108 auf dem leitenden Film 106 ausgebildet. Die Diffusionsbarriere-Schicht 108 kann auf Seitenwänden und einer Bodenfläche der Öffnung 116 angeordnet sein. Die Diffusionsbarriere-Schicht 108 kann ein Material mit niedrigem spezifischem Widerstand umfassen, etwa Ta oder TaN, und die Diffusionsbarriere-Schicht 108 kann eine Dicke T1 von etwa 0,5 nm bis etwa 10 nm haben. Die Diffusionsbarriere-Schicht 108 kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Die Diffusionsbarriere-Schicht 108 kann beispielsweise durch ein ALD-Verfahren unter geeigneten Prozessbedingungen (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 350°C) mittels Pentakis(dimethylamino)tantal (PDMAT) als chemischem Vorprodukt ausgebildet werden.
  • 6 und 7 zeigen das Ausbilden einer Zwei-Schichten-Struktur 110 in dem Kontaktstöpsel 120, in Übereinstimmung mit manchen Ausführungsformen. Bezieht man sich zuerst auf 6, so wird eine leitende Auskleideschicht 110b der Zwei-Schichten-Struktur 110 auf der Diffusionsbarriere-Schicht 108 ausgebildet. Die leitende Auskleideschicht 110b kann auf Seitenwänden und einer Bodenfläche der Öffnung 116 angeordnet sein. In manchen Ausführungsformen kann die leitende Auskleideschicht 110b Co oder Ru umfassen. Die leitende Auskleideschicht 110b kann eine Dicke T2 auf einer Bodenfläche der Öffnung 116 und eine Dicke T3 auf Seitenwänden der Öffnung 116 aufweisen. In manchen Ausführungsformen kann die Dicke T2 etwa 10 nm bis etwa 200 nm betragen und die Dicke T3 kann etwa 1,0 nm bis etwa 20 nm betragen. Die leitende Auskleideschicht 110b kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Die speziellen verwendeten Prozessbedingungen können variieren, abhängig von dem Material der leitenden Auskleideschicht 110b. Wenn die leitende Auskleideschicht 110b beispielsweise Co umfasst, kann ein ALD- oder CVD-Verfahren mittels C12H10O6Co2 (z.B. bei einer Prozesstemperatur von etwa 90°C bis etwa 350°C), Bis-Cyclopentadienyl-Co (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 500°C) oder Cyclopentadienyl-Dicarbonyl-Kobalt (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 500°C) als chemischen Vorprodukten verwendet werden. Als weiteres Beispiel kann, wenn die leitende Auskleideschicht 110b Ru umfasst, die leitende Auskleideschicht 110b durch eine ALD- oder CVD-Verfahren unter geeigneten Prozessbedingungen (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 500°C) mittels Ru(2-Pentanedionat oder 4-Pentanedionat)3, RU3CO12 oder Ru(C5H5)2 als chemischen Vorprodukten ausgebildet werden.
  • Als nächstes kann, in 7, der leitende Kern 110a der Zwei-Schichten-Struktur 110 angeordnet werden, um verbleibende Abschnitte der Öffnung 116 zu füllen. Der leitende Kern 110a kann weiter die Öffnung 116 überfüllen und eine obere Fläche der leitenden Auskleideschicht 110b bedecken. In manchen Ausführungsformen kann der leitende Kern 110a Co, Ru oder W umfassen. Die Materialien der leitenden Auskleideschicht 110b und des leitenden Kerns 110a können sich unterscheiden. Verschiedene Ausführungsformen der Zwei-Schichten-Struktur 110 können beispielsweise eine leitende Co-Auskleideschicht 110b mit einem leitenden W-Kern 110a, eine leitende Ru-Auskleideschicht 110b mit einem leitenden W-Kern 110a, eine leitende Ru-Auskleideschicht 110b mit einem leitenden Co-Kern 110a oder eine leitende Co-Auskleideschicht 110b mit einem leitenden Ru-Kern 110a umfassen. Der leitende Kern 110a kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Es wurde herausgefunden, dass die obigen Kombinationen von leitenden Materialien für die Zwei-Schichten-Struktur 110 aufgrund der ähnlichen Charakteristika des spezifischen Widerstands von Co, Ru und W geeignet sind. Des Weiteren stellt die Verwendung von Co oder Ru für die leitende Auskleideschicht 110b eine gute Haftung bereit (z.B. kann die leitende Auskleideschicht 110b als Haftschicht wirken) und verringert die Diffusion von Material des leitenden Kerns 110a (z.B. kann die leitende Auskleideschicht 110b auch als Diffusionsbarriere-Schicht dienen). Somit kann ein Material mit niedrigem spezifischem Widerstand (z.B. Ta oder TaN) für die Diffusionsbarriere-Schicht 108 verwendet werden, was die Diffusion der Materialien der Zwei-Schichten-Struktur 110 in die umgebenden Vorrichtungsschichten verringert. Somit ist die Zwei-Schichten-Struktur 110 in der dielektrischen Schicht 112 ausgebildet.
  • Bezieht man sich als nächstes auf 8, wird ein Silizid-Bereich 104 auf einem oberen Abschnitt des Substrats 102 (z.B. ein Abschnitt des Substrats 102 in physischem Kontakt mit dem leitenden Film 106) ausgebildet. Der Silizid-Bereich 104 kann ausgebildet werden, indem das leitende Material des leitenden Films 106 in obere Abschnitt des Substrats 102 diffundiert wird. Ein Ausheilverfahren kann beispielsweise bei einer Temperatur von etwa 100°C bis etwa 900°C mittels Argon (Ar) oder Stickstoff (N2) als Prozessgas unter einem Atmosphärendruck von etwa 770 Torr bis etwa 850 Torr (1,00 Torr = 1,33 mbar) ausgeführt werden. Nach dem Ausheilen können untere Abschnitte des leitenden Films 106 in das Substrat 102 diffundiert werden, während Abschnitte des leitenden Films 106 auf Seitenwänden des Kontaktstöpsels 120 verbleiben können. In manchen Ausführungsformen kann ein Teil des leitenden Films 106 auf einer Bodenfläche des Kontaktstöpsels 120 verbleiben (z.B. müssen Bodenabschnitte des leitenden Films 106 nicht vollständig in obere Abschnitte des Substrats 102 diffundieren). Alternativ kann das Material des Substrats 102 (z.B. Silizium) in den leitenden Film 106 diffundieren, um den Silizid-Bereich 104 auszubilden. Das Diffundieren des leitenden Materials des leitenden Films 106 kann die Leitfähigkeit der betroffenen Bereiche des Substrats 102 erhöhen, wodurch ein geeigneterer Kontaktbereich (d.h. der Silizid-Bereich 104) ausgebildet wird, damit der Kontaktstöpsel 120 mit ihm elektrisch verbunden werden kann.
  • Nachfolgend kann ein Planarisierungsverfahren (z.B. ein chemisch-mechanisches Polieren (CMP) oder Schleifen) ausgeführt werden, um überschüssiges Material (z.B. den leitenden Film 106, die Diffusionsbarriere-Schicht 108 und die Zwei-Schichten-Struktur 110) von einer oberen Fläche der dielektrischen Schicht 112 zu entfernen. Andere Zurückätztechniken können auch angewendet werden. Somit ist ein zusammengesetzter Kontaktstöpsel 120 in der dielektrischen Schicht 112 ausgebildet. Der zusammengesetzte Kontaktstöpsel kann einen leitenden Film 106, eine Diffusionsbarriere-Schicht 108 und eine Zwei-Schichten-Struktur 110 umfassen. Die Zwei-Schichten-Struktur 110 umfasst einen leitenden Kern 110a (der z.B. Co, Ru oder W umfasst) und eine leitende Auskleideschicht 110b (die z.B. Co oder Ru umfasst) auf Seitenwänden und einer Bodenfläche des leitenden Kerns 110a.
  • 10 zeigt einen Verfahrensfluss 200 zum Ausbilden eines zusammengesetzten Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen. Beginnt man bei Schritt 202, wird eine Öffnung in einer dielektrischen Schicht (z.B. der dielektrischen Schicht 112) beispielsweise mittels einer Kombination von Photolithographie und Ätzen strukturiert. Die Öffnung kann einen darunter liegenden Substratbereich (z.B. das Substrat 102) für eine elektrische Verbindung freilegen, etwa einen Source/Drain-Bereich oder eine Gate-Elektrode. Als nächstes wird in Schritt 204 ein leitender Film (z.B. der leitende Film 106, der Co, W, Ti, Ni und Ähnliches umfasst) auf Seitenwänden und einer Bodenfläche der Öffnung abgeschieden. Der leitende Film kann in einem nachfolgenden Verfahrensschritt (z.B. Schritt 210) verwendet werden, um einen Silizid-Bereich auszubilden; daher kann in manchen Ausführungsformen der leitende Film den freiliegenden Abschnitt des darunter liegenden Substrats berühren.
  • In Schritt 206 kann eine Diffusionsbarriere-Schicht (z.B. die Diffusionsbarriere-Schicht 108) auf dem leitenden Film auf Seitenwänden und einer Bodenfläche der Öffnung ausgebildet werden. Der leitende Film ist beispielsweise zwischen der Diffusionsbarriere-Schicht und dem darunter liegenden Substrat angeordnet. Somit muss die Diffusionsbarriere-Schicht nicht das Ausbilden eines Silizid-Bereichs in einem oberen Abschnitt des darunter liegenden Substrats in nachfolgenden Verfahrensschritten (z.B. Schritt 210) behindern. Die Diffusionsbarriere-Schicht kann ein Material mit niedrigem spezifischem Widerstand umfassen, etwa Ta oder TaN, und in manchen Ausführungsformen kann die Diffusionsbarriere-Schicht weiter gute Hafteigenschaften haben und als Haftschicht verwendet werden. In Schritt 208 wird eine Zwei-Schichten-Struktur (z.B. die Zwei-Schichten-Struktur 110) ausgebildet, um verbleibende Abschnitte der Öffnung zu füllen. Die Diffusionsbarriere-Schicht kann auf Seitenwänden und einer Bodenfläche der Zwei-Schichten-Struktur angeordnet sein, um Diffusion des Materials der Zwei-Schichten-Struktur in umgebende Vorrichtungsschichten (z.B. die dielektrische Schicht) zu verhindern oder zu verringern.
  • Das Ausbilden der Zwei-Schichten-Struktur kann es umfassen, zuerst eine Co oder Ru umfassende leitende Auskleideschicht (z.B. die leitende Auskleideschicht 110b) auf der Diffusionsbarriere-Schicht auf Seitenwänden und einer Bodenfläche der Öffnung abzuscheiden. Als nächstes wird ein Co, Ru oder W umfassender leitender Kern (z.B. der leitende Kern 110a) abgeschieden, um verbleibende Abschnitte der Öffnung zu füllen. Der leitende Kern und die leitende Auskleideschicht können unterschiedliche Materialien mit ähnlichen Eigenschaften des spezifischen Widerstands umfassen. Verschiedene Ausführungsformen können eine leitende Co- oder Ru-Auskleideschicht mit einem leitenden W-Kern, eine leitende Co-Auskleideschicht mit einem leitenden Ru-Kern oder eine leitende Ru-Auskleideschicht mit einem leitenden Co-Kern umfassen. Die leitende Auskleideschicht kann Diffusion verringern und Haftung verbessern, um die Verwendung eines Materials mit niedrigem spezifischem Widerstand für die Diffusionsbarriere-Schicht zu erleichtern. Weiter können Seitenwand-Winkel und/oder relative Abmessungen (z.B. Dicken, Höhen und Ähnliches) der Diffusionsbarriere-Schicht, der leitenden Auskleideschicht und/oder des leitenden Kerns so ausgewählt werden, dass ein angestrebtes Belastungs-Charakteristikum für den Kontaktstöpsel erreicht wird, das feinabgestimmt werden kann, gestützt auf das Design der Vorrichtung.
  • Nachdem die Öffnung mit den verschiedenen Schichten des Kontaktstöpsels gefüllt wurde, wird ein Silizid-Bereich (z.B. der Silizid-Bereich 104) in einem oberen Abschnitt des darunter liegenden Substrats ausgebildet. Ein Ausheilverfahren kann beispielsweise ausgeführt werden, um das Material des leitenden Films in das darunter liegende Substrat zu diffundieren, um den Silizid-Bereich auszubilden. Der Kontaktstöpsel kann mit dem Silizid-Bereich elektrisch verbunden sein. Schließlich wird, in Schritt 212, eine obere Fläche der dielektrischen Schicht freigelegt, indem überschüssige Materialien von der oberen Fläche mittels eines geeigneten Planarisierungsverfahrens entfernt werden, etwa eines CMP-Verfahrens, eines Schleifverfahrens oder einer weiteren Zurückätztechnik. Somit wird ein zusammengesetzter Kontaktstöpsel (z.B. der Kontaktstöpsel 120), der elektrisch mit einem silizidierten Bereich eines darunter liegenden Substrats verbunden ist, in einer dielektrischen Schicht ausgebildet. In nachfolgenden Verfahrensschritten können verschiedene zusätzliche Verbindungsstrukturen (z.B. Metallisierungsschichten mit leitenden Verbindungen und/oder Durchkontaktierungen) über der dielektrischen Schicht ausgebildet werden. Solche Verbindungsstrukturen verbinden den Kontaktstöpsel elektrisch mit anderen Kontaktstöpseln und/oder aktiven Vorrichtungen, um funktionale Schaltungen auszubilden. Zusätzliche Vorrichtungsmerkmale, etwa Passivierungsschichten, Eingabe/Ausgabe-Strukturen und Ähnliches können auch ausgebildet werden.
  • Verschiedene Ausführungsformen sehen einen zusammengesetzten Kontaktstöpsel vor. Der zusammengesetzte Kontaktstöpsel kann eine Zwei-Schichten-Struktur umfassen, die beispielsweise eine leitende Co- oder Ru-Auskleideschicht auf Seitenwänden und einer Bodenfläche eines leitenden W-, Ru- oder Co-Kerns aufweist. Die leitende Auskleideschicht und der leitende Kern können unterschiedliche leitende Materialien mit ähnlichen Eigenschaften des spezifischen Widerstands aufweisen. Eine Diffusionsbarriere-Schicht, die ein Material mit niedrigem spezifischem Widerstand (z.B. Ta oder TaN) umfasst, kann weiter auf Seitenwänden und einer Bodenfläche des zusammengesetzten Kontaktstöpsels angeordnet sein. Es wurde herausgefunden, dass eine solche zusammengesetzte Kontaktstöpsel-Struktur herunterskaliert werden kann (z.B. für fortschrittliche Anwendungen von Technologieknoten), während niedrige spezifische Widerstands- und gute Haftungseigenschaften beibehalten werden. Ein anderes vorteilhaftes Merkmal mancher Ausführungsformen liegt darin, dass der Zwei-Schichten-Kontaktstöpsel eine hohe Aktivierungsenergie und einen hohen Schmelzpunkt zeigt, was für einen guten Elektromigrations (EM)-Widerstand und eine gute elektrische Leistungsfähigkeit sorgt. Des Weiteren können, indem die Seitenwand-Winkel und/oder die Dicke-Verhältnisse der verschiedenen Schichten in der Zwei-Schichten-Struktur gesteuert werden, die Belastungs-Charakteristika des Kontaktstöpsels feinabgestimmt werden, gestützt auf das Design der Vorrichtung.
  • In Übereinstimmung mit einer Ausführungsform umfasst ein Kontaktstöpsel eine Zwei-Schichten-Struktur und eine Diffusionsbarriere-Schicht auf einer Seitenwand und einer Bodenfläche der Zwei-Schichten-Struktur. Die Zwei-Schichten-Struktur umfasst einen leitenden Kern und eine leitende Auskleideschicht auf einer Seitenwand und einer Bodenfläche des leitenden Kerns. In einer Ausführungsform des Kontaktstöpsels umfasst die leitende Auskleideschicht Kobalt oder Ruthenium.
  • In Übereinstimmung mit einer anderen Ausführungsform umfasst eine Halbleitervorrichtung eine dielektrische Schicht und einen Kontaktstöpsel, der sich durch die dielektrische Schicht erstreckt. Der Kontaktstöpsel umfasst einen leitenden Kern, eine leitende Auskleideschicht auf Seitenwänden und einer Bodenfläche des leitenden Kerns und eine Diffusionsbarriere-Schicht auf Seitenwänden und einer Bodenfläche der leitenden Auskleideschicht. Die leitende Auskleideschicht umfasst Kobalt oder Ruthenium und die leitende Auskleideschicht ist zwischen der Diffusionsbarriere-Schicht und dem leitenden Kern angeordnet. Die Halbleitervorrichtung umfasst weiter einen Silizid-Bereich unter der dielektrischen Schicht, wobei der Kontaktstöpsel mit dem Silizid-Bereich elektrisch verbunden ist.
  • In Übereinstimmung mit noch einer anderen Ausführungsform umfasst ein Verfahren zum Ausbilden eines Kontaktstöpsels das Ausbilden einer dielektrischen Schicht über einem Substrat und das Strukturieren einer Öffnung in der dielektrischen Schicht, die das Substrat freilegt. Das Verfahren umfasst weiter das Ausbilden einer Diffusionsbarriere-Schicht in der Öffnung und das Ausbilden einer leitenden Auskleideschicht auf Seitenwänden und einer Bodenfläche der Diffusionsbarriere-Schicht. Die leitenden Auskleideschicht umfasst Kobalt oder Ruthenium. Ein leitender Kern wird in der Öffnung ausgebildet. Der leitende Kern und die leitende Auskleideschicht umfassen unterschiedliche leitende Materialien und die leitende Auskleideschicht ist zwischen dem leitenden Kern und der Diffusionsbarriere-Schicht angeordnet.

Claims (17)

  1. Kontaktstöpsel (120), der Folgendes umfasst: eine Zwei-Schichten-Struktur (110), die Folgendes umfasst: einen leitenden Kern (110a); und eine leitende Auskleideschicht (110b) auf einer Seitenwand und einer Bodenfläche des leitenden Kerns (110a), wobei die leitende Auskleideschicht (110b) Kobalt oder Ruthenium umfasst; eine Diffusionsbarriere-Schicht (108) auf einer Seitenwand und einer Bodenfläche der Zwei-Schichten-Struktur (110); und einen leitenden Film (106) auf einer Seitenwand der Diffusionsbarriere-Schicht (108) umfasst, wobei die Diffusionsbarriere-Schicht (108) zwischen dem leitenden Film (106) und der Zwei-Schichten-Struktur (110) angeordnet ist und wobei gegenüberliegende Seitenwände der Zweischichten-Struktur (110) und der Diffusionsbarrieren-Schicht (108) nicht parallel sind.
  2. Kontaktstöpsel (120) nach Anspruch 1, wobei der leitende Film (106) Titan, Kobalt, Nickel oder Wolfram umfasst.
  3. Kontaktstöpsel (120) nach einem der vorangegangenen Ansprüche, wobei die Diffusionsbarriere-Schicht (108) Tantal oder Tantalnitrid umfasst.
  4. Kontaktstöpsel (120) nach einem der vorangegangenen Ansprüche, wobei der leitende Kern (110a) Wolfram umfasst.
  5. Kontaktstöpsel (120) nach einem der Ansprüche 1 bis 3, wobei die leitende Auskleideschicht (110b) Ruthenium umfasst und der leitende Kern (110a) Kobalt umfasst.
  6. Kontaktstöpsel (120) nach einem der Ansprüche 1 bis 3, wobei die leitende Auskleideschicht (110b) Kobalt und der leitende Kern (110a) Ruthenium umfasst.
  7. Halbleitervorrichtung, die Folgendes umfasst: eine dielektrische Schicht (112); einen Kontaktstöpsel (120), der sich durch die dielektrische Schicht (112) erstreckt, wobei der Kontaktstöpsel (120) Folgendes umfasst: einen leitenden Kern (110a); eine leitende Auskleideschicht (110b) auf Seitenwänden und einer Bodenfläche des leitenden Kerns (110a), wobei die leitende Auskleideschicht (110b) Kobalt oder Ruthenium umfasst; und eine Diffusionsbarriere-Schicht (108) auf Seitenwänden und einer Bodenfläche der leitenden Auskleideschicht (110b), wobei die leitende Auskleideschicht (110b) zwischen der Diffusionsbarriere-Schicht (108) und dem leitenden Kern (110a) angeordnet liegt; einen Silizid-Bereich (104) unter der dielektrischen Schicht (112), wobei der Kontaktstöpsel (120) den Silizid-Bereich (104) kontaktiert; und einen leitenden Film (106) umfasst, der auf Seitenwänden der Diffusionsbarriere-Schicht (108) angeordnet ist, wobei der leitende Film (106) zwischen der Diffusionsbarriere-Schicht (108) und der dielektrischen Schicht (112) angeordnet ist, und wobei gegenüberliegende Seitenwände des leitenden Kerns (110a), der leitenden Auskleidung (110b) und der Diffusionsbarrieren-Schicht (108) nicht parallel sind
  8. Halbleitervorrichtung nach Anspruch 7, wobei der leitende Film (106) Titan, Kobalt, Nickel oder Wolfram umfasst.
  9. Halbleitervorrichtung nach einem der Ansprüche 7 und 8, wobei der Silizid-Bereich (104) eine Kombination aus Silizium und einem leitenden Material des leitenden Films (106) umfasst.
  10. Halbleitervorrichtung nach einem der Ansprüche 7 bis 9, wobei der leitende Kern (110a) Wolfram, Ruthenium oder Kobalt umfasst und wobei der leitende Kern (110a) und die leitende Auskleideschicht (110b) verschiedene leitende Materialien umfassen.
  11. Halbleitervorrichtung nach einem der Ansprüche 7 bis 10, wobei die Diffusionsbarriere-Schicht (108) Tantal oder Tantalnitrid umfasst.
  12. Verfahren zum Ausbilden eines Kontaktstöpsels (120), das Folgendes umfasst: Ausbilden einer dielektrischen Schicht (112) über einem Substrat (102); Strukturieren einer Öffnung in der dielektrischen Schicht, die das Substrat (202) freilegt; Ausbildung eines leitenden Films (106) auf Seitenwänden und einer Bodenfläche der Öffnung, wobei der leitende Film (106) das Substrat (202) kontaktiert; Ausbilden einer Diffusionsbarriere-Schicht in der Öffnung (206); Ausbilden einer leitenden Auskleideschicht auf Seitenwänden und einer Bodenfläche der Diffusionsbarriere-Schicht, wobei die leitende Auskleideschicht Kobalt oder Ruthenium (208) umfasst; und Ausbilden eines leitenden Kerns in der Öffnung, wobei der leitende Kern und die leitende Auskleideschicht unterschiedliche leitende Materialien (208) umfassen wobei die leitende Auskleideschicht (110b) zwischen dem leitenden Kern (110a) und der Diffusionsbarriere-Schicht (108) angeordnet ist; und wobei gegenüberliegende Seitenwände der Diffusionsbarrieren-Schicht (108) und der Öffnung in der dielektrischen Schicht nicht parallel sind.
  13. Verfahren nach Anspruch 12, wobei das Ausbilden der Diffusionsbarriere-Schicht (206) das Ausbilden einer Diffusionsbarriere-Schicht umfasst, die Tantal oder Tantalnitrid umfasst.
  14. Verfahren nach Anspruch 12, das weiter nach dem Ausbilden des leitenden Kerns (208) das Ausbilden eines Silizid-Bereichs in einem oberen Abschnitt des Substrats (210) umfasst.
  15. Verfahren nach Anspruch 14, wobei das Ausbilden des Silizid-Bereichs (210) ein Ausheilverfahren umfasst und wobei das Ausheilverfahren zumindest einen Teils des leitenden Films (106) in den oberen Abschnitt des Substrats (102) diffundiert.
  16. Verfahren nach Anspruch 14, wobei das Ausbilden des leitenden Kerns (208) das Ausbilden eines leitenden Kerns (110a) umfasst, der Wolfram, Kobalt oder Ruthenium umfasst.
  17. Verfahren nach Anspruch 14, das weiter nach dem Ausbilden des leitenden Kerns (208) das Freilegen einer oberen Fläche der dielektrischen Schicht (112) umfasst.
DE102014109352.6A 2014-04-30 2014-07-04 Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung Active DE102014109352B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461986740P 2014-04-30 2014-04-30
US61/986,740 2014-04-30
US14/313,111 US10079174B2 (en) 2014-04-30 2014-06-24 Composite contact plug structure and method of making same
US14/313,111 2014-06-24

Publications (2)

Publication Number Publication Date
DE102014109352A1 DE102014109352A1 (de) 2015-11-05
DE102014109352B4 true DE102014109352B4 (de) 2019-12-05

Family

ID=54325990

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014109352.6A Active DE102014109352B4 (de) 2014-04-30 2014-07-04 Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung

Country Status (1)

Country Link
DE (1) DE102014109352B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021100639A1 (de) 2020-09-11 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Verschaltungsstruktur einer halbleitervorrichtung

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202341349A (zh) 2017-11-30 2023-10-16 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
US11239208B2 (en) 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
DE102020122828B4 (de) 2020-05-27 2022-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungen, aufweisend rückseitige durchkontaktierungen und verfahren zu deren bildung
US11417767B2 (en) 2020-05-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1094504A2 (de) * 1999-10-18 2001-04-25 Applied Materials, Inc. Durch PVD-IMP abgeschiedenes Wolfram und Wolframnitrid als Auskleidungs-, Barriere- oder Keimschicht
DE102010028458A1 (de) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
US20120187460A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Method for forming metal semiconductor alloys in contact holes and trenches
DE112010003659T5 (de) 2009-09-16 2012-10-31 International Business Machines Corporation Leitfähige Struktur für schmale Verbindungsöffnungen

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1094504A2 (de) * 1999-10-18 2001-04-25 Applied Materials, Inc. Durch PVD-IMP abgeschiedenes Wolfram und Wolframnitrid als Auskleidungs-, Barriere- oder Keimschicht
DE112010003659T5 (de) 2009-09-16 2012-10-31 International Business Machines Corporation Leitfähige Struktur für schmale Verbindungsöffnungen
DE102010028458A1 (de) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
US20120187460A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Method for forming metal semiconductor alloys in contact holes and trenches

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021100639A1 (de) 2020-09-11 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Verschaltungsstruktur einer halbleitervorrichtung

Also Published As

Publication number Publication date
DE102014109352A1 (de) 2015-11-05

Similar Documents

Publication Publication Date Title
US10504778B2 (en) Composite contact plug structure and method of making same
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102014110645B4 (de) Hybrid-Kupferstruktur zur Verwendung in fortgeschrittener Verbindung
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102014111780B4 (de) Verfahren zum Ausbilden von leitenden Strukturen in Vertiefungen
DE102016114870A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102014109352B4 (de) Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE102010064288B4 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
DE102008006960B4 (de) Halbleiterbauelement mit selbstjustierter Kontaktstruktur und Verfahren zur Herstellung
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE112005001489T5 (de) Atomlagenabgeschiedene Tantal enthaltende Haftschicht
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102020119184A1 (de) Diffusionssperre für halbleitervorrichtung und verfahren
DE102010063294A1 (de) Metallisierungssysteme von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102020127319A1 (de) Zweischichtauskleidung für metallisierung
DE102005052053B4 (de) Verfahren zur Herstellung einer Ätzstoppschicht für eine Metallisierungsschicht mit verbesserter Ätzselektivität und besserem Einschlussverhalten

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final