DE10109507B4 - Halbleiterherstellungsverfahren - Google Patents

Halbleiterherstellungsverfahren Download PDF

Info

Publication number
DE10109507B4
DE10109507B4 DE10109507A DE10109507A DE10109507B4 DE 10109507 B4 DE10109507 B4 DE 10109507B4 DE 10109507 A DE10109507 A DE 10109507A DE 10109507 A DE10109507 A DE 10109507A DE 10109507 B4 DE10109507 B4 DE 10109507B4
Authority
DE
Germany
Prior art keywords
substrate
reactive gas
moisture content
reaction chamber
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10109507A
Other languages
English (en)
Other versions
DE10109507A1 (de
Inventor
Hiroyuki Hasegawa
Tomonori Yamaoka
Yoshio Ishihara
Hiroshi Masusaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumco Corp
Original Assignee
Sumco Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000052519A external-priority patent/JP3592603B2/ja
Priority claimed from JP2000108563A external-priority patent/JP3636962B2/ja
Application filed by Sumco Corp filed Critical Sumco Corp
Publication of DE10109507A1 publication Critical patent/DE10109507A1/de
Application granted granted Critical
Publication of DE10109507B4 publication Critical patent/DE10109507B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

Halbleiterherstellungsverfahren, eine Laser-Feuchtigkeitsmesseinrichtung verwendend, zum Ausführen eines Prozesses mit reaktivem Gas, derart, dass das reaktive Gas in eine Reaktionskammer (1) zugeführt wird, in der es mit einem darin bereitgestellten Substrat (W) reagiert, dadurch gekennzeichnet, dass das Verfahren folgende Schritte enthält: Messen des Feuchtigkeitsgehalts in der Reaktionskammer (1) mit dem in dieser vorliegenden Substrat (W) und in einem Gasableitsystem der Reaktionskammer (1); und Angleichen der Bedingungen für den Prozess mit dem reaktiven Gas auf der Grundlage des Feuchtigkeitsgehalts, wobei die Bedingungen für den Prozess mit dem reaktiven Gas Bedingungen zum Erwärmen des Substrats (W) vor dem Zuführen des reaktiven Gases in die Reaktionskammer (1) umfassen.

Description

  • Die vorliegende Erfindung betrifft Halbleiterherstellungsverfahren zum Bereitstellen einer Epitaxie und dergleichen auf der Oberfläche eines Siliziumsubstrats, das beispielsweise in einer Reaktionskammer platziert ist, unter Verwendung eines reaktiven Gases (korrodierendes bzw. ätzendes Gas).
  • Herstellungsprozesse zum Bereitstellen einer Halbleiterschaltung, beispielsweise einer LSI-Schaltung, auf einem Halbleitersubstrat umfassen selektives und epitaxiales Wachsen auf einem Siliziumdünnfilm auf der Oberfläche, Bereitstellen eines Musters mit einem SiO2-Film (Siliziumoxid) auf der Oberfläche eines Siliziumsubstrats W, und Epitaxie bzw. epitaxiales Wachstum eines Siliziumfilms in einem Gebiet, in dem Silizium frei liegt, Bedampfen eines Einkristall-Siliziumdünnfilms (Epitaxieschicht) mit vorgegebener Konzentration einer Verunreinigung auf einem Substrat für eine MOS-Einrichtung mit einem Siliziumsubstrat mit extrem niedrigem spezifischen Widerstand und dergleichen.
  • Bei diesen Herstellungsprozessschritten ist das Siliziumsubstrat in einer Prozesskammer platziert, und reaktives Quellgas wir hier initiiert, zum Wachsen der Epitaxieschicht auf dem Substrat.
  • Andere Herstellungsprozessschritte unter Verwendung von reaktivem Gas umfassen eine Vielzahl von CVD-Prozessschritten zum Bereitstellen eines Dünnfilms auf einem Substrat durch die Reaktion des reaktiven Gases, sowie Ätzprozessschritte zum Bereitstellen von Mikromustern und so weiter.
  • Das bei diesen Halbleiterherstellgeräten verwendete reaktive Gas enthält ein korrodierendes Gas, beispielsweise ultrahochreines Hydrochlorgas bzw. Salzsäuregas oder Ammoniakgas. Jedoch unterliegen dann, wenn das Gas selbst nur eine geringe Menge an Feuchtigkeit enthält, die in dem Gerät verwendeten Metallkomponenten (z. B., in der Prozesskammer, dem Gaszuführsystem, dem Gasableitsystem usw.) der Korrosion. Dies führt zu einer gefährlichen Verunreinigung aufgrund von Metall (Schwermetall) von den metallischen Abschnitten. Demnach besteht eine Anforderung für ein hochempfindliches Verfahren für die quantitative Analyse der Feuchtigkeit eines korrodierenden Gases in der Prozesskammer.
  • Üblicherweise besteht die einzige Vorgehensweise zum Untersuchen der Wechselbeziehung zwischen Verarbeitungsbedingungen und einer Schwermetallbedingung sowie der Wechselbeziehung zwischen Verarbeitungsbedingungen und den Charakteristiken der Verarbeitung mit reaktivem Gas in dem Rückkoppeln von Ergebnissen, die durch direkte Analyse eines verarbeiteten Überwachenswafers erhalten werden, unter Heranziehung einer chemischen Analyse (atomare Absorptionsspektoskopie, Aktivierungsanalyse usw.), sowie einer physikalischen Analyse (SIMS, TXRF, usw.), und einer elektrischen Analyse (DLTS, SPV, Lebenszeit, usw.).
  • In den letzten Jahren wurde eine Vorrichtung zum Messen des Feuchtigkeitsgehalts in einem reaktiven Gas (korrodierenden Gas) vorgeschlagen, mit einer Laserfeuchtigkeitsmesseinrichtung zum Abstrahlen von Laserlicht in den Hauptkörper einer röhrenartigen Zelle, die mit einer Prozesskammer verbunden ist, sowie zum Messen des Absorptionsspektrum des übertragenen Lichts, beispielsweise in der nicht geprüften japanischen Patentanmeldung, mit der ersten Veröffentlichung (Kokai), Nr. Hei 5-99845 , ferner der japanischen nicht geprüften Patentanmeldung mit der ersten Veröffentlichung (Kokai), Nr. Hei 11-183366 und dergleichen. Da die Laserfeuchtigkeitsmesseinrichtung das Gas ohne Kontakt zu dem Gas messen kann, hat sie die Fähigkeit, sogar reaktives Gas mit hoher Genauigkeit zu messen. Demnach wird es möglich, den Feuchtigkeitsgehalt innerhalb der Prozesskammer selbst während der Verarbeitung zu messen.
  • Jedoch richtet sich die oben beschriebene herkömmliche Halbleiterherstellungstechnologie nicht auf die folgenden Probleme. Während der tatsächlichen Verarbeitung ist der Feuchtigkeitsgehalt in der Prozesskammer nicht immer bei jedem Prozess konstant. Selbst wenn Bedingungen nach dem Rückführen der Analyseergebnisse des Prozessüberwachungswafers zurückgeführt werden, führt eine Schwankung des Feuchtigkeitsgehalts zu einer Veränderung der Charakteristik der Reaktivgasverarbeitung. Beispielsweise lässt sich im Fall der bereits erwähnten selektiven Epitaxie die Feuchtigkeit (absorbierte Feuchtigkeit) des SiO2-Films während der (Ofen) Trocknung des Substrats im Rahmen einer Vorverarbeitung entfernen, wodurch sich der Feuchtigkeitsgehalt in der Prozesskammer erhöht. In diesem Fall erhöht sich der Feuchtigkeitsgehalt während der selektiven Epitaxie, unter Beeinflussung der Charakteristiken der Selektivität für das selektive Wachsen und des selektiv abgeschiedenen Films.
  • Ferner ergibt sich die Feuchtigkeit in der Prozesskammer nicht nur ausgehend von den Leitungen für das reaktive Gas, sondern sie kann durch das Eindringen der Atmosphäre von anderen außen liegenden Gebieten bewirkt sein. Auch hierdurch erhöht sich der Feuchtigkeitsgehalt, wodurch es schwierig ist, den Grund für die Schwankungen des Feuchtigkeitsgehalts lediglich durch Messen des Feuchtigkeitsgehalts in der Prozesskammer zu ermitteln. Ebenso wenig ist es klar, welches Niveau des Feuchtigkeitsgehalts in der Prozesskammer ein adäquates Steuern bzw. Regeln der Wirkungen der Schwermetallverunreinigung ermöglicht. Wie beispielsweise in der 9 gezeigt, ergibt eine Untersuchung der Beziehung zwischen der Rekombinationslebensdauer und der Feuchtigkeit des abgeleiteten Gases während der Reaktion die Tatsache, dass sich mit geringer werdendem Feuchtigkeitsgehalt die Lebensdauer erhöht. Jedoch ergibt sich eine beachtliche Differenz zwischen der durchschnittlichen Lebensdauer (durchgezogene Linie) und der maximalen Lebensdauer (unterbrochene Linie). Der Grund hierfür besteht in Stellen mit Schwermetallverunreinigungen an der Oberfläche des Substrats.
  • Die Druckschrift EP 0 768 525 A2 beschreibt ein System zum Überwachen von Austrittsgasen aus einer Kammer bei einem Halbleiterverarbeitungssystem. Das System ist bereitgestellt für eine Messung molekularer Gasverunreinigungen in einer Abluft einer Halbleiterverarbeitungskammer. Eine Wasserdampfmessung ist eingerichtet, um eine Austrocknungscharakteristik der Verarbeitungskammer zu bestimmen. Feuchtigkeitssensoren im Abluftbereich optimieren eine Dauer einer anfänglichen Spülung. Zusätzlich zur Messung des Wasserdampfes wird eine Erfassung von gefährlichen Gasen oder Dämpfen in einem Alarmsystem beschrieben. Ein Detektor kann mit einem Ventilsteuersystem verbunden sein, der automatisch einen Gaszylinder oder ein anderes Ventil schließt, um einen Gasfluss zu stoppen.
  • Die Druckschrift IEEE/SEMI Adv. Semiconductor Manufacturing Conference, 1990, pp. 68–71 beschreibt eine Fallstudie zum Aufzeigen einer systematischen Nutzung einer Linde-Reaktoranalyse. Ein Verunreinigungspegel kann verringert werden, indem Betriebsparameter, wie beispielsweise eine Beladezeit, Temperatur und Gehäusespülung, bei Silicium-Epitaxie verändert werden. Ein System wird beschrieben, bei dem eine Beladezeit/Temperatur und Gehäusespülflussrate eine gasförmige und Partikelkontamination in einem Epi-Reaktor beeinflusst, und wie eine Systemleistung optimiert werden kann. Die tatsächliche Prozessumgebung kann mit einer Messeinrichtung abgefragt werden, die durch einen Thermokopplungsanschluss eingeführt wird und über der Einrichtung positioniert wird. Gaswerte können analysiert werden, und Erfassungsgrenzen für Feuchtigkeit und Partikel sind vorgesehen. Darüber hinaus kann eine Stickstoffflussrate durch Betätigen eines manuellen Ventils beeinflusst werden. Eine Erhöhung einer Feuchtigkeit kurz nach einem Beginn des Prozesses wird besprochen und wird einer Öffnung des Reaktors zugeschrieben.
  • Nach Berücksichtigung der obigen Probleme wurde die vorliegende Erfindung realisiert. Ein technisches Problem dieser Erfindung besteht in der Bereitstellung eines Halbleiterherstellungsverfahrens, bei dem eine Prozessverarbeitung mit reaktivem Gas, beispielsweise die selektive Epitaxie, mit hoher Genauigkeit durch korrektes Angleichen der Bedingungen während dem Prozessablauf ausgeführt werden kann.
  • Ein anderes technisches Problem dieser Erfindung besteht in der Bereitstellung eines Halbleiterherstellungsverfahrens mit der Fähigkeit zum Eingrenzen der Zunahme des Feuchtigkeitsgehalts, unter Vermeidung einer Schwermetallverunreinigung und dergleichen, sowie zum Untersuchen der Korrelation zwischen dem Feuchtigkeitsgehalt in der Prozesskammer und außen liegenden Gebieten.
  • Zum Lösen der oben beschriebenen technischen Probleme werden Halbleiterherstellungsverfahren mit den Merkmalen der Ansprüche 1 und 6 bereitgestellt. Vorteilhafte Ausgestaltungen finden sich in den abhängigen Ansprüchen.
  • Im Rahmen des Halbleiterherstellungsverfahrens gemäß einem ersten Beispiel wird eine Verarbeitung mit reaktivem Gas ausführt, derart, dass das reaktive Gas in eine Reaktionskammer zugeführt wird, in der ein Substrat platziert ist, und mit dem Substrat reagiert. Das Verfahren umfasst die Schritte Messen des Feuchtigkeitsgehalts in der Reaktionskammer, in der das Substrat platziert ist, sowie in einem Gasableitsystem der Reaktionskammer, und ferner das Angleichen der Bedingungen zum Verarbeiten des reaktiven Gases auf der Grundlage des Feuchtigkeitsgehaltes.
  • Bei diesem Halbleiterherstellungsverfahren erfolgt ein Messen des Feuchtigkeitsgehalts in der Reaktionskammer, in der das Substrat platziert ist, sowie in einem Gasableitsystem der Reaktionskammer, und die Bedingungen zum Verarbeiten mit dem reaktiven Gas werden auf der Grundlage des Feuchtigkeitsgehalts angeglichen. Demnach ist es möglich, den Feuchtigkeitsgehalt selbst (unter Korrektur desselben mit innerhalb des geeigneten Bereichs) anzupassen, sowie Bedingungen für die Filmbildung, das Ätzen, und dergleichen, auf der Grundlage des Messens des Feuchtigkeitsgehalts während der tatsächlichen Verarbeitung. Hierdurch wird ermöglicht, dass eine hochpräzise und stabile Verarbeitung erzielt wird, unter Berücksichtigung der Wirkungen des Feuchtigkeitsgehalts auf die Charakteristiken der Verarbeitung mit reaktivem Gas.
  • Es sollen bei dem Halbleiterherstellungsverfahren gemäß dem ersten Beispiel die Bedingungen zum Verarbeiten mit reaktivem Gas Bedingungen zum Erwärmen des Substrats vor der Zufuhr des reaktiven Gases in die Reaktionskammer umfassen.
  • Bei diesem Halbleiterherstellungsverfahren werden die Bedingungen zum Erwärmen des Substrats (die Trocknungsbedingungen) vor dem Zuführen des reaktiven Gases in die Reaktionskammer angeglichen. Demnach lässt sich die Feuchtigkeit bei dem Substrat in ausreichendem Umfang vor dem Zuführen des reaktiven Gases in die Reaktionskammer entfernen, und der Feuchtigkeitsgehalt innerhalb der Reaktionskammer lässt sich auf ein geeignetes Niveau angleichen, und dergleichen, wodurch die Verarbeitung bzw. der Prozessablauf stabiler wird.
  • Die Bedingungen zum Erwärmen, die angeglichen werden, enthalten zumindest die Erwärmungstemperatur des Substrats, die Erwärmungszeit des Substrats, und den Umfang des Auslassgases.
  • Bevorzugt sollten bei dem Halbleiterherstellungsverfahren gemäß dem ersten Beispiel die Bedingungen zum Verarbeiten mit dem reaktiven Gas mindestens eine der Bedingungen enthalten, die ausgewählt sind aus der Gruppe Erwärmungstemperatur des Substrats, Umfang des reaktiven Gases, Mischverhältnis des reaktiven Gases, und Druck innerhalb der Reaktionskammer.
  • Ein Angleichen erfolgt im Zusammenhang mit zumindestens einer Größe ausgewählt aus Erwärmungstemperatur des Substrats, Umfang des reaktiven Gases, Mischverhältnis des reaktiven Gases und Druck innerhalb der Reaktionskammer. Da diese Bedingungen in besonderem Maße einen Einfluss auf die Selektivität einer selektiven Epitaxie ausüben, lässt sich demnach die Selektivität des selektiven Wachstums erhöhen.
  • Ferner umfasst das Halbleiterherstellungsverfahren gemäß dem ersten Beispiel eine Verarbeitung mit reaktivem Gas des Substrats, das zumindestens bei einem Teil an seiner Oberfläche ein Siliziumoxid aufweist.
  • Im Fall des Substrats mit Siliziumoxid bei mindestens einem Teil seiner oberen Fläche besteht eine Gefahr dahingehend, dass die Feuchtigkeit des Siliziumoxids während dem Trocknungsvorgang entfernt wird, unter Erhöhung des Feuchtigkeitsgehalts innerhalb der Reaktionskammer. Durch Messen des Feuchtigkeitsgehalts lässt sich selbst dieser Typ des Substrats mit hoher Genauigkeit und Stabilität verarbeiten.
  • Das Halbleiterherstellungsverfahren gemäß dem ersten Beispiel eignet sich insbesondere für den Fall, bei dem das Substrat ein Siliziumsubstrat enthält, bei dem das Verarbeiten mit reaktivem Gas das selektive Wachsen auf einer Halbleiterschicht umfasst, in einem Gebiet an der oberen Fläche des Substrats, an dem das Silizium frei liegt.
  • Bei einem selektiven und expitaxialen Wachstum auf einer Halbleiterschicht wie einer Siliziumschicht in einem Gebiet an der oberen Fläche des Substrats mit frei liegenden Silizium wird die Selektivität durch die Feuchtigkeit beeinflusst. Demnach lässt sich ein selektives Wachstum mit hoher Genauigkeit und hoher Selektivität durch Angleichen der Bedingungen auf der Grundlage des gemessenen Feuchtigkeitsgehalts erzielen.
  • Gemäß dem Halbleiterherstellungsverfahren des ersten Beispiels erfolgt ein Messen des Feuchtigkeitsgehalts in der Reaktionskammer, in der das Substrat platziert wird, und in dem Gasableitsystem der Reaktionskammer, und die Bedingungen zum Verarbeiten des reaktiven Gases werden auf der Grundlage des Feuchtigkeitsgehalts angeglichen. Der Feuchtigkeitsgehalt selbst und die Bedingungen für das Filmbilden, das Ätzen und dergleichen, werden auf der Grundlage der Messung des Feuchtigkeitsgehalts während der tatsächlichen Verarbeitung bzw. dem tatsächlichen Prozessablauf angeglichen. Demnach ist es möglich, einen hochpräzisen und stabilen Prozessablauf zu erzielen, der die Auswirkungen des Feuchtigkeitsgehalts auf die Charakteristiken der Verarbeitung mit reaktivem Gas berücksichtigt. Insbesondere wird bei einem selektiven Wachstum auf einer Halbleiterschicht in einem Gebiet an der oberen Fläche des Siliziumsubstrats die Selektivität durch die Feuchtigkeit beeinflusst. Demnach lässt sich ein stabiles selektives Wachstum mit hoher Selektivität durch Angleichen der Parameter erzielen (Prozessbedingungen wie Erwärmungstemperatur), die die Selektivität beeinflussen, auf der Grundlage des gemessenen Feuchtigkeitsgehalts.
  • Die genannten Erfinder haben die Ursachen des erhöhten Feuchtigkeitsgehalts in einer Reaktionskammer erforscht, und den Feuchtigkeitsgehalt in einem luftdichten Raum des Substrat führenden Systems gemessen, einschließlich eines Gebiets außerhalb der Reaktionskammer dann, wenn das Substrat in der Reaktionskammer geführt wird. Wie in 10 gezeigt, wurde entdeckt, dass sich der Feuchtigkeitsgehalt in der Reaktionskammer trotz der Tatsache erhöht, dass sich der Feuchtigkeitsgehalt in dem luftdichten Raum verringert (in 10 stellt der Bezugscode Tr-Ch Daten innerhalb einer Prozesskammer (Reaktionskammer) dar. Es wird davon ausgegangen, dass der Grund hierfür darin besteht, dass die Reaktionskammer vorab auf eine vorgegebene Temperatur erwärmt wird und Sauerstoff in den luftdichten Raum von einem Abschnitt außerhalb eintritt (beispielsweise aus einer Ladeschleuse bzw. einem Ladeschluss, und mit Wasserstoff in der Reaktionskammer unter Erzeugung von Feuchtigkeit reagiert. Das Führungssystem wird zu einer Feuchtigkeitszuführungsquelle zusätzlich zu dem reaktiven Gas.
  • Aufbauend auf dieser Kenntnis werden gemäß einem zweiten Beispiel das Halbleiterherstellungsverfahren zum Durchführen eines Prozessablaufs mit reaktivem Gas (einem Prozessablauf mit korrodierendem Gas) derart ausgestaltet, dass dann, wenn ein Substratführungssystem ein Substrat von einem luftdichten Raum in den Substratführungssystem in eine Reaktionskammer einführt und das Substrat von der Reaktionskammer zu dem luftdichten Raum ausgeworfen bzw. herausgeführt wird, reaktives Gas (korrodierendes Gas) in die Reaktionskammer zugeführt wird und hierin reagiert. Das Verfahren umfasst einen Substratführungsschritt bzw. einen Substratträgerschritt mit einem Messen des Feuchtigkeitsgehalts in dem luftdichten Raum mit einer ersten Feuchtigkeitsmessvorrichtung, die mit dem luftdichten Raum verbunden ist, und hiernach das Einführen bzw. Herausführen des Substrats durch das Substratträgersystem; ferner umfasst es einen Gasprozessschritt zum Durchführen des Prozesses mit reaktivem Gas bei Messen des Feuchtigkeitsgehalts in der Reaktionskammer mittels einer zweiten Feuchtigkeitsmesseinrichtung, die mit der Reaktionskammer verbunden ist, nach dem Substratträgerschritt.
  • Ferner wird ein Halbleiterherstellgerät geschaffen, zum Durchführen eines Prozesses mit reaktivem Gas (eines Prozesses mit korrodierendem Gas) dann, wenn ein Substratträgersystem ein Substrat von einem luftdichten Raum in dem Substratträgersystem in eine Reaktionskammer einführt, und wenn das Substrat von der Reaktionskammer zu dem luftdichten Raum herausgeführt wird. Das Halbleiterherstellgerät führt reaktives Gas (korrodierendes Gas) in die Reaktionskammer ein und bewirkt ein Reagieren des reaktiven Gases in dieser. Das Halbleiterherstellgerät enthält eine erste Feuchtigkeitsmesseinrichtung zum Messen des Feuchtigkeitsgehalts in dem luftdichten Raum des Substratträgersystems und eine zweite Feuchtigkeitsmesseinrichtung zum Messen des Feuchtigkeitsgehalts in der Reaktionskammer.
  • Bei dem Halbleiterherstellungsverfahren gemäß dem zweiten Beispiel und dem Halbleiterherstellgerät führt eine erste Feuchtigkeitsmesseinrichtung ein Messen des Feuchtigkeitsgehalts in dem luftdichten Raum des Substratträgersystems durch, und eine zweite Feuchtigkeitsmesseinrichtung misst den Feuchtigkeitsgehalt in der Reaktionskammer. Demnach lässt sich der Feuchtigkeitsgehalt in dem luftdichten Raum des Substratträgersystems und der Feuchtigkeitsgehalt in der Reaktionskammer gemeinsam messen, wodurch es möglich ist, die Auswirkungen des Feuchtigkeitsgehalts in dem luftdichten Raum auf den Feuchtigkeitsgehalt in der Reaktionskammer zu untersuchen. Zusätzlich lässt sich der Feuchtigkeitsgehalt in dem luftdichten Raum messen und reduzieren, zum Reduzieren des Feuchtigkeitsgehalts in der Reaktionskammer unter Erzielung eines exzellenten Gasprozessablaufs.
  • Es umfasst bei dem Halbleiterherstellungsverfahren gemäß dem zweiten Beispiel der Substratträgerschritt das Einführen des Substrats von dem luftdichten Raum zu der Reaktionskammer oder das Auswerfen des Substrats zu der Reaktionskammer zu dem luftdichten Raum, nachdem bestätigt ist, dass der Feuchtigkeitsgehalt in dem luftdichten Raum niedriger als ein erster Vorgabewert ist. Der Gasprozessschritt beginnt nachdem bestätigt ist, dass der Feuchtigkeitsgehalt in der Reaktionskammer niedriger als ein zweiter Vorgabewert ist.
  • Bei diesem Halbleiterherstellungsverfahren erfolgt ein vorab Festlegen des maximalen Feuchtigkeitsgehalts, der in dem luftdichten Raum dann erforderlich ist, wenn das Substrat nach innen oder nach außen von der Reaktionskammer transportiert wird, zu einem ersten Vorgabewert, und es erfolgt ein vorab Festlegen des maximalen Feuchtigkeitsgehalts, der erforderlich ist, wenn der Prozessablauf mit reaktivem Gas ohne Schwermetallverunreinigung und dergleichen in der Reaktionskammer ausgeführt wird, zu einem zweiten Vorgabewert. Demnach ist es möglich, einen stabilen und exzellenten Prozessablauf mit reaktivem Gas zu erzielen.
  • Bei dem Halbleiterherstellungsverfahren gemäß dem zweiten Beispiel sollte bevorzugt zumindest der zweite Vorgabewert niedriger als 1 ppm sein.
  • Die genannten Erfinder untersuchten die Beziehung zwischen dem Feuchtigkeitsgehalt in der Reaktionskammer und der Schwermetallverunreinigung. Es wurde entdeckt, dass dann, wenn der Feuchtigkeitsgehalt in der Größenordnung von ppm liegt, Stellen mit Schwermetallverunreinigungen an der Oberfläche des Substrats auftragen, jedoch wurden kaum irgendwelche dieser Stellen erzeugt, wenn der Feuchtigkeitsgehalt zu der Größenordnung von sub-ppm reduziert wurde. Demnach basiert das Halbleiterherstellungsverfahren dieser Erfindung auf dieser Erkenntnis, und Stellen mit Schwermetallverunreinigung werden dadurch vermieden, dass zumindest der zweite Vorgabewert zu weniger als 1 ppm festgelegt ist.
  • Bei dem Halbleiterherstellungsverfahren gemäß dem zweiten Beispiel und dem Halbleiterherstellgerät sollten zumindest die erste Feuchtigkeitsmesseinrichtung oder die zweite Feuchtigkeitsmesseinrichtung bevorzugt eine Laserfeuchtigkeitsmesseinrichtung enthalten, die Laserlicht in einen röhrenartigen Zellenhauptkörper abstrahlt, der mit dem luftdichten Raum und der Reaktionskammer verbunden ist, und die das Absorptionsspektrum des übertragenen Laserlichts misst.
  • Bei dem obigen Halbleiterherstellungsverfahren und Halbleiterherstellgerät enthält zumindest entweder die erste oder zweite Feuchtigkeitsmesseinrichtung eine Laser-Feuchtigkeitsmesseinrichtung. Demnach lässt sich die Feuchtigkeit des zu messenden Gases quantitativ mit hoher Genauigkeit ohne physikalischen Kontakt analysieren.
  • Bei dem Halbleiterherstellgerät können mehrere Reaktionskammern vorgesehen sein, und die erste Feuchtigkeitsmesseinrichtung hat die Fähigkeit zum Messen des Feuchtigkeitsgehalts in jeder der Reaktionskammern.
  • Bei diesem Halbleiterherstellgerät kann die erste Feuchtigkeitsmesseinrichtung den Feuchtigkeitsgehalt mehrerer Reaktionskammern messen. Demnach lässt sich das reaktive Gas mit einem Feuchtigkeitsgehalt verarbeiten, der für jede der Reaktionskammern geeignet ist, durch Messen des Feuchtigkeitsgehalts jeder Reaktionskammer.
  • Bevorzugt enthält das Halbleiterherstellgerät weiter eine Schalteinheit mit der Fähigkeit zum Schalten eines mit der ersten Feuchtigkeitsmesseinrichtung zu irgendeiner der Reaktionskammern.
  • Dieses Halbleiterherstellgerät enthält eine Schalteinheit zum Schalten eines Objekts, das mit der ersten Feuchtigkeitsmesseinrichtung verbunden ist, mit irgendeiner der Reaktionskammern. Demnach wird es dann, wenn die Schalteinheit die vermessende Reaktionskammer mit der ersten Feuchtigkeitsmesseinrichtung verbindet, möglich, den Feuchtigkeitsgehalt in mehreren oder individuellen Reaktionskammern unter Verwendung der ersten einzigen Feuchtigkeitsmesseinrichtung zu messen. Demnach kann die Zahl der Komponenten und können die Kosten reduziert werden.
  • Bevorzugt enthalten in dem Halbleiterherstellgerät die erste Feuchtigkeitsmesseinrichtung und die zweite Feuchtigkeitsmesseinrichtung eine einzige Feuchtigkeitsmesseinrichtung, und das Gerät enthält ferner eine Schalteinheit, die ein mit der Feuchtigkeitsmesseinrichtung verbundenes Objekt zu dem luftdichten Raum und der Reaktionskammer schalten kann.
  • Bei diesem Halbleiterherstellgerät führt eine einzige Feuchtigkeitsmesseinrichtung die Funktionen der ersten und zweiten Feuchtigkeitsmesseinrichtung aus. Die Schalteinheit kann das mit ihr verbundene Objekt zu dem luftdichten Raum oder der Reaktionskammer schalten. Demnach kann der Feuchtigkeitsgehalt in dem luftdichten Raum und der Reaktionskammer durch eine einzige Feuchtigkeitsmesseinrichtung gemessen werden, wodurch man die Zahl der Komponenten und die Kosten reduzieren kann.
  • Gemäß dem Halbleiterherstellungsverfahren nach dem zweiten Beispiel und dem Halbleiterherstellgerät misst die erste Feuchtigkeitsmesseinrichtung den Feuchtigkeitsgehalt in dem luftdichten Raum de Substratträgersystems, und die zweite Feuchtigkeitsmesseinrichtung misst den Feuchtigkeitsgehalt in der Reaktionskammer. Demnach lassen sich der Feuchtigkeitsgehalt in dem luftdichten Raum des Substratträgersystems und der Feuchtigkeitsgehalt in der Reaktionskammer gemeinsam messen, wodurch es möglich ist, die Wirkungen des Feuchtigkeitsgehalts in dem luftdichten Raum auf den Feuchtigkeitsgehalt in der Reaktionskammer zu untersuchen. Demnach lässt sich der Grund für die dem Substratträgersystem zugeführte Feuchtigkeit analysieren. Ferner lässt sich der Feuchtigkeitsgehalt in dem luftdichten Raum messen und reduzieren, zum Reduzieren des Feuchtigkeitsgehalts in der Reaktionskammer, wodurch ein exzellenter Prozess mit reaktivem Gas erhalten wird. Demnach kann ein Prozessablauf mit reaktivem Gas, beispielsweise ein Kristallwachsen, eine Dünnfilmabscheidung und ein Ätzen exzellent und stabil ausgeführt werden, und es wird möglich, ein hoch qualitatives Halbleiterherstellgerät herzustellen, sowie ein hoch qualitatives Halbleitersubstrat und eine hoch qualitative Halbleitereinrichtung.
  • Bevorzugte Ausführungsformen der vorliegenden Erfindung werden nachfolgend unter Bezug auf die Zeichnung beschrieben; es zeigen:
  • 1 eine schematische Gesamtdraufsicht eines Halbleiterherstellungsgeräts für ein epitaxiales Kristallzüchten;
  • 2 eine Querschnittsansicht des Aufbaus einer Flüssigkeitsmesseinrichtung für einen Prozess gemäß einer Ausführungsform des Halbleiterherstellungsverfahrens nach der vorliegenden Erfindung;
  • 3 eine Querschnittsansicht eines Siliziumsubstrats, bei der Primärteile für die selektive Epitaxie vergrößert sind;
  • 4 eine schematische Gesamtdraufsicht eines Geräts für ein epitaxiales Kristallzüchten nach einer Ausführungsform des Halbleiterherstellungsverfahrens nach der vorliegenden Erfindung;
  • 5 ein Leitungsdiagramm zum Darstellen des Aufbaus der Feuchtigkeitsmesseinrichtung für den Prozessablauf gemäß einer Ausführungsform des Halbleiterherstellungsverfahrens nach der vorliegenden Erfindung;
  • 6 eine Querschnittsansicht des Aufbaus einer Laser-Flüssigkeitsmesseinrichtung für den Prozessablauf nach einer Ausführungsform des Halbleiterherstellungsverfahrens und gemäß der vorliegenden Erfindung;
  • 7 ein Verteilungsdiagramm zum Darstellen des Zustands der Schwermetallverunreinigung an der Fläche eines Wafers dann, wenn eine Epitaxieschicht tatsächlich unter Verwendung eines üblichen Halbleiterherstellungsverfahrens und eines üblichen Halbleiterherstellgeräts gezüchtet wird;
  • 8 ein Verteilungsdiagramm zum Darstellen des Zustands der Schwermetallverunreinigung an der Oberfläche eines Wafers dann, wenn eine Epitaxieschicht tatsächlich unter Verwendung des Halbleiterherstellungsverfahrens nach der vorliegenden Erfindung gezüchtet wird;
  • 9 einen Graphen zur Herstellung der Beziehung zwischen der Feuchtigkeit in dem abgeleiteten Gas und der Lebensdauer; und
  • 10 einen Graphen zum Darstellen der Beziehung zwischen den Feuchtigkeitsgehalten in einer Trägerkammer und einer Prozesskammer und einer Prozesskammertemperatur.
  • Eine Ausführungsform des Halbleiterherstellungsverfahrens gemäß einem ersten Beispiel der vorliegenden Erfindung wird nun unter Bezug auf die 1 bis 3 erläutert.
  • In diesen Figuren bezeichnet das Bezugszeichen 1 Prozesskammern, das Bezugszeichen 2 bezeichnet eine Trägerkammer, das Bezugszeichen 3 bezeichnet eine Einführladeschleusenkammer, das Bezugszeichen 4 bezeichnet eine Auswurfladeschleusenkammer, und das Bezugszeichen 5 bezeichnet eine Feuchtigkeitsmesseinrichtung für den Prozess.
  • Die 1 zeigt ein Epitaxiezüchtungsgerät vom Blatt- bzw. Lamellentyp (Engl.: leaf-type epitaxial crystal growth apparatus) zum Realisieren des Halbleiterherstellungsverfahrens nach der vorliegenden Erfindung. Wie in 1 gezeigt, enthält das Epitaxiezüchtungsgerät drei Quarzprozesskammern (Reaktionskammern) 1 mit luftdichtem Hohlkörpereinfassungen, in denen Siliziumsubstrate W platziert sind, eine Trägerkammer 2 mit einem inneren gasdichten Raum, dessen Atmosphäre dann ersetzt wird, wenn die Siliziumsubstrate W in die Prozesskammern 1 eingeführt werden, eine Einführladeschleusenkammer 3 zum Einführen der Siliziumsubstrate W in die Trägerkammer 2 vor dem Prozessablauf, und eine Herausführladeschleusenkammer zum Extrahieren der verarbeiteten Siliziumsubstrate W aus der Trägerkammer 2.
  • Jede Prozesskammer 1 weist eine Feuchtigkeitsmesseinrichtung für den Prozess 5 auf, zum Messen des reaktiven Gases, das in die Prozesskammern 1 eingeführt wird, und zum Messen der hierin vorliegenden Feuchtigkeit, und eine Druckmesseinrichtung 7 zum Messen des Drucks in der Prozesskammer 1.
  • Eine Trägersystem-Feuchtigkeitsmesseinrichtung 6 ist in der Trägerkammer 2 vorgesehen, und sie misst den Feuchtigkeitsgehalt in der internen Atmosphäre. Bevorzugt sollte die Trägersystem-Flüssigkeitsmesseinrichtung 6 eine Laser-Feuchtigkeitsmesseinrichtung enthalten, mit demselben Hauptkörper wie ein hoch präziser schnell ansprechender Flüssigkeitsmesseinrichtungs-Hauptkörper 10, der später beschrieben wird. Jedoch ist es akzeptabel, eine Feuchtigkeitsmesseinrichtung mit statischer Kapazität zu verwenden, die Änderungen des elektrischen Kapazitätswerts der Feuchtigkeit misst, die in einem Aluminiumkondensator und dergleichen absorbiert wird, oder eine Feuchtigkeitsmesseinrichtung, die eine qualitative Analyse verwendet, oder dergleichen.
  • Die Prozesskammern 1 lassen sich mit einer Gasquelle (in 1 nicht gezeigt) verbinden, die ein reaktives Gas zuführt, und dergleichen, derart, dass Gas (SiCl2H2, SiCl3H, HCL, Hz, N2, B2H6, PH3, SiH4, usw.) in die Prozesskammern 1 injiziert bzw. eingespritzt werden kann. Zusätzlich können die Prozesskammern 1 über ein Gasableitsystem mit einer Abgasverarbeitungseinheit (nicht gezeigt) verbunden sein, derart, dass sich reaktives Gas und dergleichen hierzu nach einer Reaktion in den Prozesskammern 1 ableiten lassen.
  • Wie in 2 gezeigt, enthält die Feuchtigkeitsmesseinrichtung für den Prozess 5 eine Probenrohrleitung 9 mit der Funktion als Probenleitung, deren eines Ende mit einem Ventil (nicht gezeigt) verbunden ist, sowie mit dem Gasableitsystem der Prozesskammer 1, ferner einen Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zum Verbinden mit dem anderen Ende der Proberohrleitung 9 und zum Messen der Feuchtigkeit des reaktiven Gases von der Prozesskammer 1, und eine Rotationspumpe 12, die über eine Verbindungsleitung 11 mit dem rückwärtigen Ende des Feuchtigkeitsmesseinrichtungs-Hauptkörpers 10 verbunden ist.
  • Ein röhrenförmiger Zellenhauptkörper 19 ist innerhalb des Gehäuses 10a des Feuchtigkeitsmesseinrichtungs-Hauptkörpers 10 vorgesehen. Die Probenrohrleitung 9, das Gasableitsystem, ist mit einem Ende des röhrenförmigen Zellenhauptkörpers 19 verbunden, und die Verbindungsleitung 11 ist mit der anderen Seite verbunden. Lichtdurchlässige Fenster 19a sind an beiden Seiten des röhrenförmigen Zellenhauptkörpers 19 vorgesehen. Ein Halbleiterlaser mit variabler Wellenlänge LD ist so vorgesehen, dass er der Außenseite eines lichtdurchlässigen Fensters 19a gegenüber liegt, und er erzeugt Infrarot-Laserlicht (Wellenlänge 1.3 bis 1.55 μm). Ein Fotodetektor PD ist so vorgesehen, dass er der Außenseite des anderen lichtdurchlässigen Fensters 19a gegenüberliegt und detektiert das Infrarot-Laserlicht L, das durch den röhrenförmigen Zellenhauptkörper 19 gelangt ist, und er konvertiert die Intensität des detektierten Lichts in ein elektrisches Signal.
  • Ein Bandheizgerät 20 ist mit einer Stromzuführquelle (in 2 (nicht gezeigt) verbunden, und er ist um die Probenrohrleitung 9 und die Verbindungsleitung 11 gewickelt. Ein Wärmeisolator 21 aus Siliziumgummi ist um das Bandheizgerät 20 gewickelt. Der durch das Bandheizgerät 20 fließende Strom wird so angeglichen, dass er die Probenrohrleitung 9 und die Verbindungsleitung 11 zu einer Temperatur über 100°C erwärmt. Das Bandheizgerät 20 reduziert sekundäre reaktive Produkte in den Rohrleitungen.
  • Ein Heizgerät für die Zelle 22 ist an dem rohrförmigen Zellenhauptkörper 19 und den lichtdurchlässigen Fenstern 19a des Feuchtigkeitsmesseinrichtungs-Hauptkörpers 10 angebracht, und es enthält hauptsächlich einen elektrischen Heizdraht zum Erwärmen der obigen Teile auf mehr als 100°C. Die Messempfindlichkeit des Feuchtigkeitsmesseinrichtungs-Hauptkörpers 10 wird vorab angeglichen und korrigiert, in Übereinstimmung mit der Temperatur des Gases, das auf mehr als 100°C durch das Bandheizgerät 20 und das Heizgerät für die Zelle 22 erwärmt ist.
  • Wie in 3 gezeigt, wird das Epitaxiezüchtungsgerät für eine selektive Epitaxie des Siliziumfilms 32 (Halbleiterfilm) auf der Oberfläche des Siliziumsubstrats W verwendet, das ein Muster des SiO2-Films auf seiner Oberfläche aufweist, und zwar lediglich in dem Gebiet, in dem das Silizium frei liegt. Diese Ausführungsform wird erläutert.
  • Zunächst wird das Siliziumsubstrat W ausgehend von der Einführladeschleusenkammer 3 in die Trägerkammer 2 eingeführt, und die Atmosphäre in der Trägerkammer 2 wird durch ein Edelgas wie N2 ersetzt. Beispielsweise misst die Trägersystem-Feuchtigkeitsmesseinrichtung 6 die Feuchtigkeit in der Atmosphäre. Nach der Bestätigung der Tatsache, dass die Feuchtigkeit ausreichend reduziert ist, wird das Siliziumsubstrat W in die Prozesskammer 1 abgegeben.
  • Die Innenseite der Prozesskammer wird mit einem Spülgas geläutert bzw. gespült, mit einem Edelgas wie H2 oder N2. Nach dem Einfügen des Siliziumsubstrats W in die Prozesskammer 1 wird es zu einer vorgegebenen Temperatur gebrannt (erwärmt). Während dem Brennen wird die Rotationspumpe 12 aktiviert, und das Ventil und dergleichen der Probenrohrleitung 9 ist geöffnet, und das Atmosphärengas in der Prozesskammer 1 wird fortlaufend zu dem Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 bei Angleichen der Strömungsmenge zugeführt.
  • Das Probengas wird in den rohrförmigen Zellenhauptkörper 19, in dem Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zugeführt und der Halbleiterlaser LD strahlt Infrarotlaserlicht L auf das Gas ab. Der Fotodetektor PD detektiert das Infrarot-Laserlicht L, das das Gas in dem rohrförmigen Zellenhauptkörper 19 durchquert hat. Der Feuchtigkeitsgehalt des Gases wird auf der Grundlage der Stärke des Absorptionsspektrums gemessen, das ausgehend von dem detektierten Licht erhalten wird, und die Feuchtigkeit des Gases wird quantitativ analysiert. Das zu dem rohrförmigen Zellenhauptkörper 19 eingeleitete Gas wird über die Verbindungsleitung 11 und über die Rotationspumpe 12 zu dem Ableitsystem abgeführt. Der Druck in der Prozesskammer 1 wird durch die Druckmesseinrichtung 7 fortlaufend gemessen.
  • Die Brennbedingungen werden auf der Grundlage des gemessenen Feuchtigkeitsgehalts in der Prozesskammer 1 während dem Brennen angeglichen. Die Brennbedingungen enthalten die Erwärmungstemperatur des Substrats W, die Erwärmungszeit, den Umfang des Spülgases, und zumindest eine dieser Größen wird angeglichen. Beispielsweise erfolgen dann, wenn der Feuchtigkeitsgehalt einen geeigneten Bereich übersteigt, Angleichvorgänge, beispielsweise ein Erhöhen der Erwärmungstemperatur, der Erwärmungszeit oder der Menge des Spülgases, auf der Grundlage des Feuchtigkeitsgehalts, wodurch der Feuchtigkeitsgehalt während dem Brennen in einem geeigneten Bereich gehalten wird. Die Selektivität des selektiven Züchtens wird durch die Erwärmungstemperatur und der Erwärmungszeit während dem Backen verbessert.
  • Nach Angleichen der Brennbedingungen zum Halten des Feuchtigkeitsgehalts in einem geeigneten Bereich wird ein reaktives Gas wie SiCl2H2, HCL, H2 und SiH4 so eingeführt, dass ein selektives Wachstum einer Epitaxieschicht auf der Oberfläche des Siliziumsubstrats W bewirkt wird. Wie während dem Brennen wird der Feuchtigkeitsgehalt und Druck in der Prozesskammer 1 fortlaufend während diesem Prozess gemessen.
  • Prozessbedingungen werden auf der Grundlage des gemessenen Feuchtigkeitsgehalts der Prozesskammer 1 während dem Brennen und dem Prozess angeglichen. Die Prozessbedingungen enthalten die Erwärmungstemperatur des Substrats W, den Umfang an reaktivem Gas, das Mischverhältnis des reaktiven Gases oder den Druck in der Prozesskammer 1, und zumindest eine dieser Größen wird angeglichen. Beispielsweise erfolgt dann, wenn der Feuchtigkeitsgehalt einen geeigneten Bereich überschreitet, ein Angleichen wie ein Erhöhen der Menge von Wasserstoff ausgehend von der Gasquelle (beispielsweise SiCl2H2, HCl, usw.) während dem Prozess, ein Erhöhen der Menge von HCl, (Chlorwasserstoff), und ein Erhöhen des Drucks in der Prozesskammer 1, oder dergleichen, wodurch die Selektivität für das selektive Wachstum erhöht ist. Insbesondere bewirkt HCl ein Vermeiden der Tatsache, dass Polysilizium auf SiO2 wächst (d. h., ein Erhöhen der Selektivität).
  • Die Gasmenge sollte bevorzugt gemäß Bedingungen an der Schnittstelle zwischen Gebieten mit der Möglichkeit eines selektiven Wachstums und nicht selektierbaren Gebieten festgelegt sein.
  • Die Einstellung der Bedingungen, die vorab in Übereinstimmung mit dem Feuchtigkeitsgehalt festgelegt sind, lassen sich in einem Controller oder dergleichen des Wachstumsgeräts speichern, so dass der Controller oder dergleichen automatisch ein Angleichen der Backbedingungen und der Prozessbedingungen auf der Grundlage des gemessenen Feuchtigkeitsgehalts ausführen kann.
  • Nach dem Abschluss der Epitaxie wird innerhalb der Prozesskammer 1 das Volumen durch Edelgas ersetzt, und das Siliziumsubstrat wird nach dem selektiven Züchten W von der Auswurfladeschleusenkammer 4 über die Trägerkammer 2 ausgegeben.
  • Bei dieser Ausführungsform wird der Feuchtigkeitsgehalt in der Prozesskammer 1 bei Vorliegen des Siliziumsubstrats gemessen, und die Gasprozessbedingungen für die selektive Epitaxie werden auf der Grundlage des Feuchtigkeitsgehalts angeglichen. Demnach kann der Feuchtigkeitsgehalt während dem Brennen in einem geeigneten Bereich gehalten werden, unter Verwendung der tatsächlichen Messung des Feuchtigkeitsgehalts. Weiterhin können die Prozessbedingungen während dem selektiven Züchten angeglichen werden. Demnach lässt sich eine selektive Epitaxie stabil und mit hoher Präzision erzielen, sowie mit sorgfältiger Betrachtung der Wirkung des Feuchtigkeitsgehalts auf die Selektivität des selektiven Züchtens.
  • Das erste Beispiel umfasst auch die folgenden Ausführungsformen.
  • Die oben beschriebene Ausführungsform wird bei einem Halbleiterherstellungsverfahren angewandt, das eine selektive Epitaxie durch Bedampfen erzielt. Jedoch führt diese Bedingung im wesentlichen die Prozesse aus, die erforderlich sind, damit ein reaktives Gas mit einem Substrat in einer Reaktionskammer reagiert, und sie kann bei anderen Halbleiterherstellungsverfahren verwendet werden, bei denen Prozesseigenschaften durch den Feuchtigkeitsgehalt beeinflusst werden. Beispielsweise lässt sich diese Erfindung bei einem Verfahren zum Herstellen eines Epitaxiewafers durch Bedampfen eines Einkristall-Siliziumdünnfilms auf einem Siliziumsubstrat mit extrem geringen spezifischem Widerstand verwenden, wie es bei der Herstellung eines Substrats für eine MOS-Einrichtung verwendet wird, oder bei anderen Verfahren zum Bereitstellen eines Dünnfilms auf einem Substrat, beispielsweise CVD, oder Trockenätzen der Oberfläche eines Substrats unter Verwendung eines reaktiven Gases.
  • Nachfolgend wird unter Bezug auf die 4 bis 6 das Halbleiterherstellungsverfahren gemäß einem zweiten Beispiel und eine Ausführungsform des Halbleiterherstellgeräts erläutert.
  • Bei der Erläuterung dieser Beispiele sind Elemente mit derselben Funktion, wie sie oben für das Halbleiterherstellungsverfahren gemäß dem ersten Beispiel erläutert sind, anhand derselben Bezugszeichen bezeichnet.
  • In der 4 bis 6 bezeichnet das Bezugszeichen 1 Prozesskammern, 2 bezeichnet eine Trägerkammer, 3 bezeichnet eine Einführladeschleusenkammer, 4 bezeichnet eine Ausführladeschleusenkammer, 5 bezeichnet eine Feuchtigkeitsmesseinrichtung für den Prozess, und 6 bezeichnet eine Trägersystem-Feuchtigkeitsmesseinrichtung.
  • Die 4 zeigt ein Beispiel, bei dem das Halbleiterherstellungsverfahren des dritten Beispiels dieser Erfindung bei einem Epitaxiezüchtungsgeräts des Blatt- bzw.
  • Lamellentyps (Engl.: leaf-type epitaxial crystal growth apparatus) angewandt wird. Wie in 4 gezeigt, ist das Epitaxiekristall-Züchtungsgerät ein Mehrkammern-Züchtungsgerät, und es enthält drei Quarzprozesskammern (Reaktionskammern) 1, mit hohlkörperartigen luftdichten Einfassungen, in denen die die Siliziumsubstrate (das Substrat) W platziert sind, eine Trägerkammer (Substratträgersystem) 2 mit einem inneren gasdichten Raum, dessen Atmosphäre dann ersetzt wird, wenn das Siliziumsubstrat W in die Prozesskammern 1 eingeführt wird, eine Einführladeschleusenkammer 3 zum Einführen der Siliziumsubstrate vor dem Prozess W in die Trägerkammer 2, und eine Auswurfladeschleusenkammer 4 zum Extrahieren der verarbeiteten Siliziumsubstrate W von der Trägerkammer 2.
  • Die Prozesskammern 1 sind mit einer Probenrohrleitung für den Prozess 9 mit einer Feuchtigkeitsmesseinrichtung für den Prozess (zweite Feuchtigkeitsmesseinrichtung) 5 verbunden, zum Messen des Prozessgases mit dem reaktiven Gas (dem korrodierenden Gas), das in die Prozesskammer 1 eingeführt wird, und diese misst die Feuchtigkeit hierin.
  • Die Trägersystem-Feuchtigkeitsmesseinrichtung (erste Feuchtigkeitsmesseinrichtung) 6 ist durch eine Trägersystem-Probenrohrleitung 6a mit den inneren Abschnitten der Trägerkammer 2 verbunden, sowie der Einführladeschleusenkammer 3 und der Auswurfladeschleusenkammer 4, und sie misst die Feuchtigkeit der Atmosphäre hierin. Die Trägersystem-Feuchtigkeitsmesseinrichtung 6 ist identisch zu der Feuchtigkeitsmesseinrichtung für den Prozess 5, die einen hochpräzisen schnell ansprechenden Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 verwendet, der hier später erläutert wird.
  • Die Trägersystem-Probenrohrleitung 5a enthält drei Abzweigrohrleitungen 6b, die von dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zu der Trägerkammer 2, zu der Einführladeschleusenkammer 3 und zu der Auswurfladeschleusenkammer 4 führen. Ein Ventil 6c ist zu jeder Verzweigungsleitung 6a hin vorgesehen, und es kann diese öffnen und schließen.
  • Wie in 5 gezeigt, ist eine Prozessgas-Einführleitung mit den Prozesskammern 1 verbunden, und sie ermöglicht das Einführen von Gas (SiCl2H2, SiCl3H, HCL, H2, N2, B2H6, PH3, usw.) in diese. Eine Prozessgas-Ableitleitung 8 ist mit den Prozesskammern 1 verbunden, und sie bewirkt ein Ableiten des reaktiven Gases und dergleichen zu einer (nicht gezeigten) Abgas-Verarbeitungseinheit nach der Reaktion innerhalb der Prozesskammern 1.
  • Die Feuchtigkeitsmesseinrichtung für den Prozess 5 enthält eine Probenrohrleitung 9 für den Prozess 9 mit der Funktion einer Probenleitung mit einem Ventil 9a bei einem Ende mit einer Verbindung über eine Prozessgas-Ableitleitung zu der Prozesskammer 1, ferner einen Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 mit einer Verbindung über ein variables Ventil 9b zum anderen Ende der Probenrohrleitung für den Prozess 9 und zum Messen der Feuchtigkeit des reaktiven Gases von der Prozesskammer 1, eine Rotationspumpe 12 mit einer Verbindung durch eine Verbindungsleitung 11 über ein variables Ventil 11a zu dem rückseitigen Ende des Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörpers 10.
  • Eine Leitungsspülleitung für ein N2 Spülen der Probenleitung 13 ist über ein Ventil 13a mit der Basis der Probenrohrleitung für den Prozess 9 verbunden. Eine Prozessgas-Einführleitung ist über eine Verzweigungsleitung 14 über ein Ventil 14a mit der Rohrleitungspülleitung 13 verbunden. Die Rohrleitungspülleitung 13 enthält ein Ventil 13b bei einer Position weiter stromaufwärts gegenüber der Verbindung mit der Abzweigleitung 14.
  • Die Probenrohrleitung für den Prozess 9 verzweigt in drei Verzweigungsrohrleitungen 9c in Zuordnung zu den drei Prozesskammern 1 ausgehend von dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10. Es sind Ventile (Schaltmechanismen) 9d bei jeder Verzweigungsrohrleitung 9c vorgesehen, und sie können diese öffnen und schließen.
  • Wie in den 5 und 6 gezeigt, ist eine Gehäusespülleitung 15 für ein N2 Spülen der Innenseite des Gehäuses 10 mit dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 verbunden. Zusätzlich ist eine N2 Abführleitung 16 zum ableiten des N2 mit dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 verbunden. Das andere Ende der N2-Ableitleitung 16 ist mit der Prozessgas-Abführrohrleitung 8 verbunden.
  • Eine Proben-Abführrohrleitung 17 ist mit der Rotationspumpe 12 über ein Ventil 17a mit der Prozessgas-Abführrohrleitung 8 verbunden. Eine N2-Spülleitung für Gasballast 18 ist mit der Rotationspumpe 12 verbunden.
  • Wie in 6 gezeigt, enthält der Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 einen rohrförmigen Zellenhauptkörper 19a. Die Probenrohrleitung für den Prozess ist mit einem Ende des rohrförmigen Zellenhauptkörpers 19 verbunden, und die Verbindungsrohrleitung 11 ist mit der anderen Seite verbunden. Lichtdurchlässige Fenster 19a sind an beiden Seiten des rohrförmigen Zellenhauptkörpers 19 vorgesehen. Ein Halbleiterlaser variabler Wellenlänge LD ist gegenüberliegend der Außenseite eines lichtdurchlässigen Fensters 19a vorgesehen, und er strahlt Infrarotlaserlicht (Wellenlänge 1.3 bis 1.55 μm) ab. Ein Fotodetektor PD ist gegenüberliegend dem anderen lichtdurchlässigen Fenster 19a vorgesehen, und er detektiert das Infrarotlaserlicht L, das den rohrförmigen Zellenhauptkörpers 19 passiert, und er konvertiert die Intensität des detektierten Lichts in ein elektrisches Signal.
  • Ein Bandheizgerät 20 ist um die Probenrohrleitung für den Prozess 9 und die Verbindungsleitung 11 gewickelt, und ein Wärmeisolator 21 aus Siliziumgummi ist um das Bandheizgerät 20 gewickelt. Das Bandheizgerät 20 ist mit einer Stromzuführquelle (in 2 nicht gezeigt) verbunden. Der durch das Bandheizgerät 20 fließende Strom wird so angeglichen, dass er die Probenrohrleitung für den Prozess 9 und die Verbindungsleitung 11 zu einer Temperatur oberhalb von 100° erwärmt.
  • Ein Heizgerät für die Zelle 22 ist an dem rohrförmigen Zellenhauptkörpers 19 und dem lichtdurchlässigen Fenster 19a des Feuchtigkeitsmesseinrichtungs-Hauptkörpers 10 angebracht, und es enthält hauptsächlich eine elektrische Heizleitung zum Erwärmen der obigen Teile auf mehr als 100°C. Die Trägersystem-Probenrohrleitung 6a wird in derselben Weise wie die Probenrohrleitung für den Prozess 9 erwärmt. Im Ergebnis ist es möglich, sekundäre reaktive Produkte in den Rohrleitungen der Prozesskammer 1 zu reduzieren, wo reaktives Gas erwärmt wird, und hierdurch ein Blockieren der Leitungen durch sekundäre reaktive Produkte zu vermeiden. Demnach lässt sich die Feuchtigkeit konstant in situ messen.
  • Es erfolgt ein Angleichen und Korrigieren der Messempfindlichkeit des Feuchtigkeitsmesseinrichtungs-Hauptkörpers 10 vorab in Übereinstimmung mit der Temperatur des Gases, das auf mehr als 100°C durch das Bandheizgerät 20 und das Heizgerät für die Zelle 22 erwärmt ist. Die Messempfindlichkeit wird beispielsweise durch einen (nicht gezeigten) Controller angeglichen und korrigiert, der mit dem Fotodetektor PD verbunden ist und ein Signal hiervon durch Berechnen verarbeitet.
  • Nichtrostende Rohrleitungen werden für die oben erwähnten Rohrleitungen verwendet, und die Innenflächen der Rohrleitungen werden elektropoliert oder bevorzugt CRP passiviert (d. h. ein nicht beweglicher Film mit einem Chromoxidfilm an seiner oberen Fläche ist vorgesehen).
  • Nachfolgend wird ein Verfahren zum Züchten eines Epitaxiekristalls für die Ausführungsformen des Halbleiterherstellungsverfahrens gemäß einem zweiten Beispiel und das Halbleiterherstellgerät erläutert.
  • Zunächst wird das Siliziumsubstrat W, bei dem das Epitaxiekristall zu züchten ist, von der Außenseite an die Einführladeschleusenkammer 3 abgegeben. Die Trägersystem-Feuchtigkeitsmesseinrichtung 6 misst die Feuchtigkeit in der Einführladeschleusenkammer 3. Lediglich das Ventil 6c der Verzweigungsrohrleitung 6b, die mit der Einführladeschleusenkammer 3 verbunden ist, wird geöffnet, und das andere Ventil 6c ist geschlossen. In diesem Zustand wird die Atmosphäre in der Einführladeschleusenkammer 3 über die Probenrohrleitung über das Trägersystem 6a dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zugeführt, und der Feuchtigkeitsgehalt in der Atmosphäre wird gemessen. Das Gas wird von der Probenrohrleitung für das Trägersystem 6a dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 in derselben Weise zugeführt, wie der Feuchtigkeitsmesseinrichtung für den Prozess 5, die nachfolgend erläutert wird.
  • Auf der Grundlage der durch die Trägersystem-Feuchtigkeitsmesseinrichtung 6 durchgeführt Messung wird bestätigt, dass der Feuchtigkeitsgehalt in der Einführladeschleusenkammer 3 unterhalb einem vorgegebenen Wert liegt. Hiernach wird das Siliziumsubstrat 1 von der Einführladeschleusenkammer 3 zu der Trägerkammer 2 eingeführt, und die Atmosphäre in der Trägerkammer 2 wird durch ein Edelgas wie N2 ersetzt.
  • Die Trägersystem-Feuchtigkeitsmesseinrichtung 6 misst die Feuchtigkeit in der Trägerkammer 2. Lediglich das Ventil 6c der Verzweigungsrohrleitung 6b, die mit der Trägerkammer 2 verbunden ist, wird geöffnet, und das andere Ventil 6c ist geschlossen. In diesem Zustand wird die Atmosphäre in der Trägerkammer 2 über die Probenrohrleitung für das Trägersystem 6a dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zugeführt, und der Feuchtigkeitsgehalt in der Atmosphäre wird gemessen. Auf der Grundlage der durch die Trägersystem-Feuchtigkeitsmesseinrichtung 6 durchgeführten Messung wird bestätigt, dass der Feuchtigkeitsmessgehalt in der Trägerkammer 2 unterhalb einem vorgegebenen Vorgabewert (erster Vorgabewert) liegt. Hiernach wird das Siliziumsubstrat W in die Prozesskammer 1 geführt. Der vorgegebene Vorgabewert hängt von dem Kapazitätsverhältnis zwischen der Trägerkammer 2 und der Prozesskammer 1 ab, sollte jedoch idealer Weise weniger als 5 ppm betragen. Selbst wenn der Feuchtigkeitsgehalt geringfügig hoch ist, erfolgt ein Spülen durch das Spülgas, und dies hat keine negative Auswirkung.
  • Vor dem Prozess wird das Innere der Prozesskammer 1 mit einem Edelgas, H2 oder N2 gespült. Das Siliziumsubstrat W wird von der Trägerkammer 2 eingeführt, und auf eine vorgegebene Temperatur erwärmt. Die Feuchtigkeitsmesseinrichtung für den Prozess 5 misst den Feuchtigkeitsgehalt in der Prozesskammer 1. Lediglich das Ventil 9d der Verzweigungsrohrleitung 9c, die mit der Prozesskammer 1 verbunden ist, wird geöffnet, und das andere Ventil 9d ist geschlossen. In diesem Zustand wird das Gas innerhalb der Prozesskammer 1 über die Probenrohrleitung für den Prozess 9 dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zugeführt, und der Feuchtigkeitsgehalt in dem Gas wird gemessen. Das Ventil 9a und 17a ist geöffnet, und die Rotationspumpe 12 wird aktiviert. Ein Teil des Gases in der Prozesskammer 1 wird konstant über die Probenrohrleitung für den Prozess 9 dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zugeführt, bei einem Angleichen der Menge unter Verwendung der variablen Ventile 9b und 11a.
  • Das probemässig erfasste Gas wird dem rohrförmigen Zellenhauptkörper 19 in dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zugeführt, und der Halbleiterlaser LD strahlt Infrarotlaserlicht L auf das Gas ab. Das durch das Gas in dem rohrförmigen Zellenhauptkörper 19 übertragene Infrarot-Laserlicht L wird durch den Fotodetektor PD detektiert, und der Feuchtigkeitsgehalt in dem Gas wird quantitativ analysiert, auf der Grundlage der Stärke des Absorptionsspektrums, das ausgehend von der Menge des detektierte Lichts erhalten wird.
  • Nachdem bestätigt ist, dass der Feuchtigkeitsgehalt in dem Probegas geringer als mindestens 1 ppm (ein zweiter Vorgabewert) ist, werden die Ventile 13a, 13b und 14a geschlossen, und ein vorgegebenes reaktives Gas oder dergleichen wird über eine Prozessgas-Zuführrohrleitung zum Züchten einer Epitaxieschicht auf der Oberfläche des Siliziumsubstrats W zugeführt. In dem Fall, in dem Sauerstoff in der Trägerkammer 2 vorliegt und das Gas in der Prozesskammer 1 Wasserstoff ist, wird durch die Reaktion bei einer bestimmten Temperatur Feuchtigkeit erzeugt. Demnach wird in diesem Fall der Prozess abgebrochen, und das Gerät wird einer Wartung unterzogen, um auf Leckstellen und dergleichen in dem Trägersystem hin geprüft zu werden.
  • Das reaktive Gas und dergleichen, das dem rohrförmigen Zellenhauptkörper 19 zugeführt wird, wird über die Verbindungsleitung 11, die Rotationspumpe 12 und die Probenableitrohrleitung 17 zu der Prozessgas-Ableitrohrleitung 8 abgeleitet.
  • Während der Epitaxie wird etwas Abgas, das reagiert hat und das in der Prozesskammer 1 erwärmt wurde, fortlaufend über die Probenrohrleitung über den Prozess 9 dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 zugeführt, der den Feuchtigkeitsgehalt des Abgases misst.
  • Nach Abschluss der Epitaxie wird das Substrat W von der Prozesskammer 1 zurück zu der Trägerkammer 2 geführt. Anschließend wird das Substrat W an die Abgabeladenschleusenkammer 4 abgegeben und zu der Außenseite hin herausgeführt. Der Feuchtigkeitsgehalt in der Abgabeladeschleusenkammer 4 lässt sich ebenso durch die Trägersystem-Feuchtigkeitsmesseinrichtung 6 messen, und zwar durch Schalten des An/Abschaltzustands des Ventils 6c, wodurch es möglich ist, Leckstellen und dergleichen in der Abgabeladeschleusenkammer 4 zu detektieren.
  • Bei dieser Ausführungsform misst die Trägersystem-Feuchtigkeitsmesseinrichtung 6 den Feuchtigkeitsgehalt in dem luftdichten Raum in der Trägerkammer 2, und die Feuchtigkeitsmesseinrichtung für den Prozess 5 misst den Feuchtigkeitsgehalt in der Prozesskammer 1. Dies ermöglicht ein Messen des Feuchtigkeitsgehalts in dem Substratträgersystem mit der Einführladeschleusenkammer 3, der Trägerkammer 2 und dergleichen zusammen mit dem Feuchtigkeitsgehalt in der Prozesskammer 1. Demnach ist es möglich, die Auswirkungen des Feuchtigkeitsgehalts in dem luftdichten Raum des Substratträgersystems auf den Feuchtigkeitsgehalt der Prozesskammer zu untersuchen. Die Feuchtigkeitsgehalte in der Trägerkammer 2 und der Einführladeschleusenkammer 3 lassen sich einzeln durch die Trägersystem-Feuchtigkeitsmesseinrichtung 6 messen. Demnach ist es möglich, die Auswirkungen des Feuchtigkeitsgehalts in der Einführladeschleusenkammer 3 auf den Feuchtigkeitsgehalt in der Trägerkammer 2 zu untersuchen.
  • Vor dem Transport des Substrats W zu der Prozesskammer 1 wird der Feuchtigkeitsgehalt in der Einführladeschleusenkammer 3 und der Trägerkammer 2 gemessen, und zu einem vorgegebenen Gehalt gesteuert, damit der Feuchtigkeitsgehalt in der Prozesskammer 1 reduziert wird. Demnach ist es möglich, ein erhöhtes bzw. verstärktes Eindringen des Feuchtigkeitsgehalts aufgrund des Gases in dem Substratträgersystem in die Prozesskammer 1 in großem Umfang zu reduzieren, wodurch ein exzellentes Epitaxiewachstum erzielt wird. Selbst wenn Sauerstoff in das Substratträgersystem eindringt, erhöht sich der Feuchtigkeitsgehalt in der Prozesskammer 1, wodurch es möglich ist, ein exzellentes Epitaxiewachstum zu erzielen.
  • Die Epitaxieschicht wird auf der Oberfläche des Siliziumsubstrats W gezüchtet, durch Einführen von reaktivem Gas, nachdem zumindest bestätigt ist, dass der Feuchtigkeitsgehalt des Probegas weniger als 1 ppm ist.
  • Demnach werden Stellen mit Schwermetallverunreinigungen vermieden.
  • Die Feuchtigkeitsmesseinrichtung für den Prozess kann individuell den Feuchtigkeitsgehalt in den Prozesskammern 1 messen. Demnach können Defekte und Fehlfunktionen einfach dann festgestellt werden, wenn das Messen des Feuchtigkeitsgehalts in jeder Prozesskammer 1 aufdeckt, dass sich der Feuchtigkeitsgehalt in einer/einigen der Prozesskammern 1 erhöht hat.
  • Die Ventile 9d ermöglichten das Schalten des Objekts, das mit der Feuchtigkeitsmesseinrichtung für den Prozess 5 verbunden ist, mit einer vorgegebenen Prozesskammer 1. Demnach kann die zu vermessende Prozesskammer 1 mit dem Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 verbunden werden, durch Öffnen/Schließen des relevanten Ventils 9d, und es lässt sich eine einzige Feuchtigkeitsmesseinrichtung für den Prozess 5 zum Messen des Feuchtigkeitsgehalts in mehreren und vorgegebenen Prozesskammern 1 verwenden. Dies reduziert die Zahl der Komponenten und die Kosten.
  • Das zweite und dritte Beispiel umfasst die folgenden Ausführungsformen.
  • Bei den oben beschriebenen Ausführungsformen sind die Feuchtigkeitsmesseinrichtung für den Prozess 5 und die Trägersystem-Feuchtigkeitsmesseinrichtung 6 getrennt vorgesehen. Jedoch kann durch Verzweigen der Probenrohrleitung ausgehend von einer einzigen Feuchtigkeitsmesseinrichtung zu der Prozesskammer und zu dem Substratträgersystem, beispielsweise der Trägerkammer, die einzige Feuchtigkeitsmesseinrichtung funktionsgemäß gleichzeitig als Messeinrichtung für den Prozess und als Messeinrichtung für das Trägersystem dienen. Das angeschlossene Objekt lässt sich zu dem luftdichten Raum des Substratträgersystems oder der Prozesskammer unter Verwendung der Ventile und dergleichen schalten. In diesem Fall lässt sich der Feuchtigkeitsgehalt in dem luftdichten Raum des Substratträgersystems und der Prozesskammer durch eine einzige Feuchtigkeitsmesseinrichtung messen, unter Reduzierung der Zahl der Komponenten und der Kosten.
  • Die bereits beschriebenen Ausführungsformen wenden diese Erfindung beim Einführen eines Siliziumsubstrats W ausgehend von der Trägerkammer 2 zu der Prozesskammer 1 an. Jedoch kann diese Erfindung beim Abgeben des Siliziumsubstrats W von der Prozesskammer zu der Trägerkammer 2 nach dem Abschluss des Epitaxiewachstums angewandt werden. Beispielsweise wird eine Epitaxieschicht auf der Oberfläche eines Siliziumwafers W in der Prozesskammer 1 unter Verwendung eines Gases wie Silicomethan oder Monosilan gezüchtet werden, und nach dem Abschluss des Züchtens wird der Siliziumwafer W von der Prozesskammer 1 zu der Trägerkammer 2 entnommen. Hiernach wird HCl in die Prozesskammer 1 für ein Reinigen (Ätzen) eingeführt bzw. eingespritzt. In diesem Fall wird das Gerät der Trägerkammer 2 vor dem Abgeben des Wafers W gemessen. Der Wafer W wird zu der Trägerkammer 2 lediglich dann abgegeben, nachdem bestätigt ist, dass der Feuchtigkeitsgehalt in der Trägerkammer 2 unter einem Vorgabewert (z. B. 5 ppm) liegt. Durch Bestätigen des Feuchtigkeitsgehalts in der Trägerkammer 2 vor dem Abgeben in dieser Weise ist es möglich, die Menge des Gases in der Trägerkammer 2 in großem Umfang zu reduzieren, die in die Prozesskammer 1 während dem Abgeben eindringt und den Feuchtigkeitsgehalt hierin erhöht. Hierdurch erzielt man einen exzellenten HCl Reinigungsvorgang.
  • Die Trägersystem-Feuchtigkeitsmesseinrichtung 6 der oben beschriebenen Ausführungsform sollte bevorzugt dieselbe sein wie die Feuchtigkeitsmesseinrichtung für den Prozess 5, die den hochpräzisen Laser-Feuchtigkeitsmesseinrichtungs-Hauptkörper 10 verwendet. Jedoch ist es akzeptabel, eine absorbierende Feuchtigkeitsmesseinrichtung zum Messen des Umfangs der Schwankung der Oszillationsfrequenz eines Flüssigkristallanzeigevibrators zu verwenden, der mit einem Feuchtigkeit absorbierenden Dünnfilm beschichtet ist, oder eine elektrostatische kapazitive Feuchtigkeitsmesseinrichtung zum Messen der Änderungen des elektrischen Kapazitätswerts der Feuchtigkeit, die in einem Aluminiumkondensator absorbiert ist, sowie eine Feuchtigkeitsmesseinrichtung unter Verwendung einer qualitativen Analyse, und dergleichen.
  • Bei den oben beschriebenen Ausführungsformen wird das Halbleiterherstellgerät bei einem Bedampfungsgerät zum Züchten einer Epitaxieschicht angewandt, jedoch lässt sich diese Erfindung auch bei anderen Halbleiterherstellgeräten anwenden, in denen reaktives Gas mit einem Substrat in einer Reaktionskammer reagiert. Beispielsweise lässt sich diese Erfindung auf ein CVD-Geräts anwenden, das einen Dünnfilm auf einem Substrat bildet, sowie ein Trockenätzgerät zum Ätzen der Substratoberfläche unter Verwendung korrodierenden Gases, und dergleichen.
  • Gemäß den obigen Ausführungsformen wird diese Erfindung bei einem Epitaxie-Züchtungsgerät vom Typ mit einzelner Waferverarbeitung angewandt, jedoch ist sie nicht hierauf beschränkt, und sie lässt sich auf andere Typen von Gerät anwenden (zahlreiche Typen mit Batchverarbeitung, usw.).
  • Ferner wird ein korrodierendes Gas mit einem reaktiven Gas in die Leitungen und Prozesskammern zugeleitet, nachdem sie mit H2 gespült sind, jedoch lässt sich weiterhin ein Spülen mit HCl (Chlorwasserstoff) nach einem adäquaten Spülen mit H2 ausführen. Hiernach wird das reaktive Gas zum Züchten eingeführt. In diesem Fall erfolgt eine Kombination der Feuchtigkeitsmoleküle an den Wänden der Rohrleitungen und der Prozesskammern mit den HCl-Molekülen, und sie werden hierdurch weggeführt, unter Reduzierung der Feuchtigkeit, die in das reaktive Gas eintritt, das hiernach eingeführt wird.
  • Im Rahmen eines Vergleichs wurden Epitaxieschichten auf Siliziumwafern W unter Verwendung des üblichen Verfahrens (Feuchtigkeitsgehalt von 4 ppm) und des Verfahrens gemäß den obigen Ausführungsformen (Feuchtigkeitsgehalt von 1 ppm) gezüchtet. Die erhaltenen Ergebnisse sind jeweils in den 7 und 8 gezeigt. Gebiete an der Oberfläche des Wafers mit Lebensdauern von weniger als 500 μs (in Zuordnung zu einer Schwermetallverunreinigung) sind durch diagonale Schattierung dargestellt.
  • Wie anhand der 7 und 8 klar zu erkennen, führt das übliche Verfahren im Ergebnis zu Stellen mit Schwermetallverunreinigung an der Oberfläche des Wafers W. Im Gegensatz hierzu wurden keine Verschmutzungsstellen durch die Ausführungsformen dieser Erfindung erzeugt.

Claims (8)

  1. Halbleiterherstellungsverfahren, eine Laser-Feuchtigkeitsmesseinrichtung verwendend, zum Ausführen eines Prozesses mit reaktivem Gas, derart, dass das reaktive Gas in eine Reaktionskammer (1) zugeführt wird, in der es mit einem darin bereitgestellten Substrat (W) reagiert, dadurch gekennzeichnet, dass das Verfahren folgende Schritte enthält: Messen des Feuchtigkeitsgehalts in der Reaktionskammer (1) mit dem in dieser vorliegenden Substrat (W) und in einem Gasableitsystem der Reaktionskammer (1); und Angleichen der Bedingungen für den Prozess mit dem reaktiven Gas auf der Grundlage des Feuchtigkeitsgehalts, wobei die Bedingungen für den Prozess mit dem reaktiven Gas Bedingungen zum Erwärmen des Substrats (W) vor dem Zuführen des reaktiven Gases in die Reaktionskammer (1) umfassen.
  2. Halbleiterherstellungsverfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Bedingungen zum Erwärmen zumindest eine Größe enthalten, ausgewählt aus einer Heiztemperatur des Substrats (W); einer Erwärmungszeit des Substrats (W) und einer Menge an Spülgas.
  3. Halbleiterherstellungsverfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Bedingungen für den Prozess mit dem reaktiven Gas zumindest eine Größe enthalten, ausgewählt aus Erwärmungstemperatur des Substrats (W), Menge an reaktivem Gas, Mischverhältnis des reaktiven Gases und Druck in der Reaktionskammer (1).
  4. Halbleiterherstellungsverfahren nach Anspruch 1, dadurch gekennzeichnet, dass es ferner den Schritt Verarbeiten des Substrats (W) mit reaktivem Gas enthält, bei dem Siliziumoxid (31) zumindest bei einem Teil von seiner oberen Fläche vorgesehen ist.
  5. Halbleiterherstellungsverfahren nach Anspruch 4, dadurch gekennzeichnet, dass das Substrat (W) ein Siliziumsubstrat enthält; und das Verarbeiten mit reaktivem Gas das selektive Züchten einer Halbleiterschicht in einem Gebiet an der oberen Fläche des Substrats (W) enthält, wo das Silizium frei liegt.
  6. Halbleiterherstellverfahren zum Ausführen der Verarbeitung mit reaktivem Gas derart, dass dann, wenn ein Substratträgersystem (2 und 3) ein Substrat (W) von einem luftdichten Raum in dem Substratträgersystem in eine Reaktionskammer (1) einführt, und wenn das Substrat (W) von der Reaktionskammer (1) zu dem luftdichten Raum herausgeführt wird, reaktives Gas in die Reaktionskammer (1) zugeführt wird und hierin reagiert, derart, dass das Verfahren folgende Schritte enthält: einen Substratträgerschritt zum Messen des Feuchtigkeitsgehalts in dem luftdichten Raum mittels einer ersten Feuchtigkeitsmesseinrichtung (6), die mit dem luftdichten Raum verbunden ist, und hiernach Einführen und Abgeben des Substrats (W) mittels dem Substratträgersystem (2 und 3); und einen Gasverarbeitungsschritt zum Durchführen der Verarbeitung mit reaktivem Gas bei Messung des Feuchtigkeitsgehalts in der Reaktionskammer (1) mittels einer zweiten Feuchtigkeitsmesseinrichtung (5), die mit der Reaktionskammer (1) verbunden ist, nach dem Substratträgerschritt; wobei der Substratträgerschritt das Einführen des Substrats (W) von dem luftdichten Raum zu der Reaktionskammer (1) oder Ableiten des Substrats (W) von der Reaktionskammer (1) zu dem luftdichten Raum umfasst, nachdem bestätigt ist, dass der Feuchtigkeitsgehalt in dem luftdichten Raum niedriger als ein erster Vorgabewert ist; und der Gasverarbeitungsschritt ein Schritt ist, bei dem ein Prozess mit reaktivem Gas beginnt, nachdem bestätigt ist, dass der Feuchtigkeitsgehalt in der Reaktionskammer (1) niedriger als ein zweiter Vorgabewert ist.
  7. Halbleiterherstellungsverfahren nach Anspruch 6, dadurch gekennzeichnet, dass zumindest der zweite Vorgabewert niedriger als 1 ppm ist.
  8. Halbleiterherstellungsverfahren nach Anspruch 6, dadurch gekennzeichnet, dass mindestens entweder die erste Feuchtigkeitsmesseinrichtung (6) oder die zweite Feuchtigkeitsmesseinrichtung (5) eine Laser-Feuchtigkeitsmesseinrichtung (10) enthält, die Laserlicht in einen rohrförmigen Zellenhauptkörper (19) abstrahlt und ein Absorptionsspektrum von übertragenem Laserlicht misst.
DE10109507A 2000-02-28 2001-02-28 Halbleiterherstellungsverfahren Expired - Fee Related DE10109507B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000052519A JP3592603B2 (ja) 2000-02-28 2000-02-28 半導体製造方法及び半導体製造装置
JP052519/00 2000-02-28
JP2000108563A JP3636962B2 (ja) 2000-04-10 2000-04-10 半導体製造方法
JP108563/00 2000-04-10

Publications (2)

Publication Number Publication Date
DE10109507A1 DE10109507A1 (de) 2001-09-13
DE10109507B4 true DE10109507B4 (de) 2011-11-17

Family

ID=26586302

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10109507A Expired - Fee Related DE10109507B4 (de) 2000-02-28 2001-02-28 Halbleiterherstellungsverfahren

Country Status (5)

Country Link
US (3) US6776805B2 (de)
KR (1) KR100773636B1 (de)
CN (1) CN1183578C (de)
DE (1) DE10109507B4 (de)
TW (1) TW476996B (de)

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296558A (ja) * 2003-03-26 2004-10-21 Osaka Prefecture 絶縁層埋め込み型単結晶炭化シリコン基板の製造方法及びその製造装置
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US7436081B2 (en) * 2007-01-31 2008-10-14 Caterpillar Inc. System for controlling a hybrid energy system
DE102007019122B3 (de) * 2007-04-23 2008-06-26 Texas Instruments Deutschland Gmbh Verfahren zur Temperaturregelung während eines Epitaxieschrittes von Halbleiterwafern
US8986253B2 (en) 2008-01-25 2015-03-24 Tandem Diabetes Care, Inc. Two chamber pumps and related methods
US8408421B2 (en) 2008-09-16 2013-04-02 Tandem Diabetes Care, Inc. Flow regulating stopcocks and related methods
AU2009293019A1 (en) 2008-09-19 2010-03-25 Tandem Diabetes Care Inc. Solute concentration measurement device and related methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010190824A (ja) * 2009-02-20 2010-09-02 Shimadzu Corp 半導体製造プロセス用吸光分析装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758323B2 (en) 2009-07-30 2014-06-24 Tandem Diabetes Care, Inc. Infusion pump system with disposable cartridge having pressure venting and pressure feedback
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9196551B2 (en) 2011-08-26 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Automatically adjusting baking process for low-k dielectric material
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9180242B2 (en) 2012-05-17 2015-11-10 Tandem Diabetes Care, Inc. Methods and devices for multiple fluid transfer
US9555186B2 (en) 2012-06-05 2017-01-31 Tandem Diabetes Care, Inc. Infusion pump system with disposable cartridge having pressure venting and pressure feedback
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014123028A1 (ja) * 2013-02-05 2014-08-14 株式会社日立国際電気 クリーニング方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9173998B2 (en) 2013-03-14 2015-11-03 Tandem Diabetes Care, Inc. System and method for detecting occlusions in an infusion pump
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6458595B2 (ja) * 2015-03-27 2019-01-30 東京エレクトロン株式会社 成膜装置及び成膜方法並びに記憶媒体
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI607367B (zh) 2017-01-25 2017-12-01 友達光電股份有限公司 面板及其製造方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN109254108B (zh) * 2017-07-12 2023-02-17 株式会社堀场制作所 分析装置和分析方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113774478A (zh) * 2021-09-16 2021-12-10 季华实验室 外延设备的反应工况调节方法、装置、***及电子设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0768525A2 (de) * 1995-10-10 1997-04-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude System zur Überwachung von Kammerabgasen mittels Absorptionsspektroskopie, und damit ausgerüstetes Halbleiterverarbeitungssystem

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3732435A (en) * 1972-03-27 1973-05-08 Strandberg Eng Labor Inc Moisture measuring and control apparatus
CH613546A5 (de) * 1977-05-04 1979-09-28 Boschung Fa M
US4272986A (en) * 1979-04-16 1981-06-16 Harris Corporation Method and means for measuring moisture content of hermetic semiconductor devices
US4475080A (en) * 1982-05-10 1984-10-02 Walker Charles W E Microwave moisture measurement of moving particulate layer after thickness leveling
JPS60145999A (ja) 1984-01-11 1985-08-01 Nec Corp 化合物半導体気相成長方法
US4661196A (en) * 1984-10-22 1987-04-28 Texas Instruments Incorporated Plasma etch movable substrate
GB8715357D0 (en) 1987-06-30 1987-08-05 Sandoz Inst For Medical Resear Organic compounds
JPH01216551A (ja) * 1988-02-24 1989-08-30 Nec Kyushu Ltd 半導体基板の収納保管装置
JP2602880B2 (ja) * 1988-03-05 1997-04-23 忠弘 大見 シリンダーキャビネット配管装置
DE354669T1 (de) * 1988-07-20 1990-11-08 Hashimoto Chemical Industries Co., Ltd., Sakai, Osaka Einrichtung und verfahren zum trockenaetzen und generator zum erzeugen von wasserfreier, verduennter fluss-saeure, die dafuer benoetigt wird.
JPH03226649A (ja) * 1990-01-31 1991-10-07 Sanyo Electric Co Ltd 感湿素子
JPH0485927A (ja) 1990-07-30 1992-03-18 Nippon Telegr & Teleph Corp <Ntt> ゲート電極用薄膜の形成方法とその形成装置
JP3017301B2 (ja) * 1991-02-18 2000-03-06 大阪酸素工業株式会社 不動態膜の形成方法
JP3059262B2 (ja) 1991-10-08 2000-07-04 日本酸素株式会社 ガス中の微量水分分析装置
US5241851A (en) 1991-11-04 1993-09-07 The Boc Group, Inc. Method of performing an instantaneous moisture concentration measurement and for determining the drydown characteristics of an environment
JPH0661199A (ja) 1992-04-27 1994-03-04 Nec Corp 気相エッチング方法および装置
TW262566B (de) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
JPH09129709A (ja) 1995-10-27 1997-05-16 Nec Corp プロセス装置
KR100260957B1 (ko) * 1995-12-28 2000-07-01 츠치야 히로오 박판형상의 기판 이송방법 및 이송장치
US5749521A (en) * 1996-05-22 1998-05-12 Lore Parker Moisture sensing electronic irrigation control
JPH10144581A (ja) 1996-11-14 1998-05-29 Kokusai Electric Co Ltd 半導体製造装置
SG63825A1 (en) 1997-03-11 1999-03-30 Applied Materials Inc In situ monitoring of contaminants in semiconductor processing chambers
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US5844125A (en) * 1997-10-01 1998-12-01 Millipore Corporation Method and apparatus for measuring moisture content in a gas
JPH11183366A (ja) 1997-12-25 1999-07-09 Nippon Sanso Kk 分光分析用測定セル
JPH11354516A (ja) * 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
JP2000097890A (ja) * 1998-09-21 2000-04-07 Sony Corp ガス中の水分量測定装置およびクライオポンプ再生終了時の検知方法
JP4319723B2 (ja) 1999-01-25 2009-08-26 株式会社アルバック エピタキシャル成長方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0768525A2 (de) * 1995-10-10 1997-04-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude System zur Überwachung von Kammerabgasen mittels Absorptionsspektroskopie, und damit ausgerüstetes Halbleiterverarbeitungssystem

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SMOAK, B. C., et al.,"Yield improvement in silicon epitaxy through gas purity analysis and control at the wafer", IN: IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 1990, pp. 68-71 *

Also Published As

Publication number Publication date
US20040092043A1 (en) 2004-05-13
US7033843B2 (en) 2006-04-25
US6794204B2 (en) 2004-09-21
US20030022469A1 (en) 2003-01-30
US20010019900A1 (en) 2001-09-06
TW476996B (en) 2002-02-21
CN1312585A (zh) 2001-09-12
KR100773636B1 (ko) 2007-11-05
DE10109507A1 (de) 2001-09-13
US6776805B2 (en) 2004-08-17
KR20010085636A (ko) 2001-09-07
CN1183578C (zh) 2005-01-05

Similar Documents

Publication Publication Date Title
DE10109507B4 (de) Halbleiterherstellungsverfahren
DE69736224T2 (de) Verfahren und vorrichtung zum transportieren von blattförmigen gegenstanden
DE19832566C2 (de) Niederdruck-CVD-Vorrichtung für die Herstellung von Halbleiterbauelementen, ihr Betriebsverfahren und Optimierungsverfahren eines Reinigungsverfahrens für eine Prozesskammer
DE69114373T2 (de) Verfahren zum Herstellen eines Siliziumnitrid-Filmes.
DE69830730T2 (de) Verfahren und vorrichtung zur bestimmung des endpunktes in einem kammerreinigungsprozess
DE10042881B4 (de) CVD-Einrichtung zum Bilden eines Halbleiterfilms auf einem Wafer und Verfahren zum Beurteilen von Wartungszeiten einer CVD- Einrichtung
DE68928435T2 (de) Generator zum Erzeugen von wasserfreier, verdünnter Flusssäure und seine Benutzung in einer Einrichtung zum Trockenätzen
DE60115435T2 (de) Dicke einkristalline diamantschicht, verfahren zur herstellung der schicht und edelsteine hergestellt durch bearbeitung der schicht
DE68925133T2 (de) Plasma-Bearbeitungsgerät und Verfahren zur Gastemperaturmessung
DE10124609B4 (de) Verfahren zum Abscheiden aktiver Schichten auf Substraten
DE60028091T2 (de) Quarzglasbauteil für halbleiterherstellungsanlage und verfahren zur metalluntersuchung in einem quarzglasbauteil
DE69119989T2 (de) CVD-Anlage und Verfahren zum Herstellen geglühter Filme
DE69128210T2 (de) Verfahren zum Herstellen Siliciumnitrid dünner Filme und Verfahren zum Herstellen eines Dünnfilmtransistors unter Verwendung Siliciumnitrid dünner Filme
DE10103061B4 (de) Verfahren zur Inspektion der Tiefe einer Öffnung in einer dielektrischen Materialschicht
DE19520961A1 (de) Verfahren zum Bilden eines ferroelektrischen Filmes
DE102017130551A1 (de) Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
US20030008507A1 (en) Method of monitoring a production process
DE60001521T2 (de) Vorrichtung und Verfahren zur Herstellung von Halbleiterbauelementen
DE4010595C2 (de)
DE4428155A1 (de) Verfahren zur Herstellung eines Gassensors
DE112018006547T5 (de) Verfahren zur kontaminationskontrolle einer gasphasenabscheidungsvorrichtung und verfahren zur herstellung eines epitaktischen wafers
DE10236896A1 (de) Vorrichtung und Verfahren zum thermischen Behandeln von Halbleiterwafern
DE69019270T2 (de) Plasma-Bearbeitung von III-V-Halbleitern, gesteuert bei Photolumineszenz-Spektroskopie.
EP0928498B1 (de) Verfahren zum erzeugen einer titanmonophosphidschicht und ihre verwendung
DE102022128091B4 (de) Wafer-Chuck, Träger und Verfahren

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8172 Supplementary division/partition in:

Ref document number: 10165036

Country of ref document: DE

Kind code of ref document: P

Q171 Divided out to:

Ref document number: 10165036

Country of ref document: DE

Kind code of ref document: P

8127 New person/name/address of the applicant

Owner name: MITSUBISHI MATERIALS SILICON CORP., TOKIO/TOKY, JP

Owner name: TAIYO NIPPON SANSO CORPORATION, TOKIO/TOKYO, JP

8127 New person/name/address of the applicant

Owner name: SUMCO CORP., TOKIO/TOKYO, JP

8127 New person/name/address of the applicant

Owner name: SUMCO CORPORATION, TOKYO, JP

R081 Change of applicant/patentee

Owner name: SUMCO CORPORATION, JP

Free format text: FORMER OWNER: SUMCO CORP., TOKIO/TOKYO, JP

Effective date: 20110331

R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20120218

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee