CN1967787A - 基底绝缘膜的形成方法 - Google Patents

基底绝缘膜的形成方法 Download PDF

Info

Publication number
CN1967787A
CN1967787A CNA2006101623240A CN200610162324A CN1967787A CN 1967787 A CN1967787 A CN 1967787A CN A2006101623240 A CNA2006101623240 A CN A2006101623240A CN 200610162324 A CN200610162324 A CN 200610162324A CN 1967787 A CN1967787 A CN 1967787A
Authority
CN
China
Prior art keywords
film
plasma
substrate
dielectric
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101623240A
Other languages
English (en)
Other versions
CN100561684C (zh
Inventor
菅原卓也
多田吉秀
中村源志
尾﨑成则
中西敏雄
佐佐木胜
松山征嗣
长谷部一秀
中岛滋
藤原友纪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1967787A publication Critical patent/CN1967787A/zh
Application granted granted Critical
Publication of CN100561684C publication Critical patent/CN100561684C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

对配置于电子器件用基底材料上的绝缘膜的表面照射基于处理气体的等离子体,从而在该绝缘膜和电子器件用基底材料的界面上形成基底膜,其中所述处理气体含有至少包括氧原子的气体。在绝缘膜和电子器件用基底材料之间的界面上,可以得到使该绝缘膜特性提高的优质底层膜。

Description

基底绝缘膜的形成方法
本分案申请是申请号为03802592.2、申请日为2003年3月31日的专利申请的分案申请,该专利申请的发明名称为“基底绝缘膜的形成方法”。
技术领域
本发明涉及具有良好界面特性的绝缘膜的形成方法。进一步说,本发明涉及对绝缘膜照射基于下述处理气体的等离子体,从而提高该绝缘膜和基底材料之间的界面特性的方法,其中,所述处理气体至少包括含有氧原子的气体。本发明的改性方法尤其可适于使用在所谓的高介电常数材料中。
背景技术
本发明一般可广泛应用于制造半导体或半导体装置以及液晶器件等电子器件材料中,但这里为了便于说明,以半导体装置(器件)的背景技术为例进行说明。
在以硅为主的用于半导体或电子器件材料的基底材料上实施氧化膜的形成、通过CVD等的成膜以及蚀刻等各种处理。近年来,随着半导体装置的微型化以及对高性能的要求,(例如,在漏电电流这一点上)对更高性能的绝缘膜的使用显著增加。这是由于即使在以往的集成度比较低的器件中实际上没有问题的漏电电流,在近年来的微型及/或高性能的设备中,也有可能产生严重的问题。尤其是在始于近年的、称为ubiquitous社会(将无论何时何地都连接在网络上的电子器件作为介质的信息化社会)中的便携式电子设备的发展中,低耗电功率器件是必须的,所以,降低该漏电电流是非常重要的课题。
典型的是,例如,若在开发第二代MOS晶体管的基础上,追求高性能的硅LSI的微型化,则会产生漏电电流增大,耗电功率也增大的问题。因此,为了在追求性能的同时减少耗电功率,需要不增加MOS晶体管的栅极漏电(栅漏)电流就能够提高晶体管的特性。
为了满足这样的要求,提出了各种方案(例如硅氧化膜的改性、氮氧化硅膜SiON的使用),但其有效方法之一是开发使用了高介电常数材料的绝缘膜。因为通过使用这种高介电常数材料可以期望作为由SiO2电容量换算出来的膜厚的EOT(有效氧化物厚度)的薄膜化。
然而,当实际上通过CVD(化学气相沉积法)等来形成这种所期望的具有优秀特性的绝缘膜时,尤其是在实用性极高(例如,相比较而言薄到12A(埃))的绝缘膜中,难以在该绝缘膜和作为其基底的电子器件用基底材料之间得到良好的界面特性。
用于解决该课题的一个有效方法是:在基底材料上形成极薄(例如10A以下)的基底膜后,在该基底膜上形成绝缘膜。然而,采用以往的热氧化技术或者等离子体氧化技术(通过这些技术是难以控制薄膜厚度的),在控制成膜速度和面内均匀性的同时直接在电子器件用基底材料上形成薄基底膜是极为困难的。
发明内容
本发明的目的是提供一种消除了上述现有技术的缺点的基底膜的形成方法。
本发明的其他目的是提供一种在绝缘膜和电子器件用基底材料之间的界面上,形成应该使晶体管特性提高的优质基底膜的方法。
本发明人的创新研究成果是,并不是如以往那样在电子器件用基底材料上形成基底膜后,形成绝缘膜(例如,高介电常数材料膜),而在电子器件用基底材料上一旦形成绝缘膜(例如,高介电常数材料膜),就使基于处理气体的等离子体透过该绝缘膜,并在该绝缘膜-基底材料界面上形成基底膜,其中所述的处理气体至少含有包括氧原子的气体,可以看出其用于达成上述目的是极其有效。
本发明的基底膜的形成方法是基于上述理论的,更详细地说,其特征在于,对配置于电子器件用基底材料上的绝缘膜的表面照射基于处理气体的等离子体,从而在该绝缘膜和电子器件用基底材料的界面上形成基底膜,其中所述的处理气体至少含有包括氧原子的气体。
根据本发明中,还可以提供电子器件用材料,至少包括电子器件用基底材料、配置于该基底材料上的基底膜和配置于该基底膜上的绝缘膜,其特征在于,所述基底膜是通过来自所述绝缘膜一侧的等离子体照射而形成的膜。
在具有上述结构的本发明的基底膜的形成方法中,等离子体活性粒子(例如氧反应粒子)从绝缘膜表面一侧透过该绝缘膜,到达绝缘膜-基底材料界面,并在该界面附近形成基底膜。在本发明中,与在电子器件用基底材料上直接形成基底膜相比,因为易于控制成膜速度(即成膜时间的控制),所以容易进行该基底膜的膜厚控制,以及/或者提高基底膜的面内的均匀性。
附图说明
图1(a)(b)是表示可通过本发明的基底绝缘膜形成方法而制造的半导体装置的一个例子的垂直剖面模式图;
图2是表示用于实施本发明的基底绝缘膜形成方法的半导体装置的一个例子的平面模式图;
图3是表示可在本发明的基底绝缘膜的形成方法中使用的平面天线(RLSA;也称为隙缝平板天线或者SPA)等离子体处理单元的一个例子的垂直剖面模式图;
图4是表示可在采用本发明基底绝缘膜形成方法的装置中使用的RLSA的一个例子的平面模式图;
图5是表示可在本发明的基底绝缘膜的形成方法中使用的加热反应炉单元的一个例子的垂直剖面模式图;
图6是表示形成有栅极氧化膜和栅极绝缘膜的硅衬底表面的一个例子的剖面模式图;
图7是表示向衬底表面上进行等离子体处理的一个例子的剖面模式图;
图8是表示高介电常数材料成膜的一个例子的剖面模式图;
图9是表示向高介电常数材料表面进行等离子体处理的一个例子的剖面模式图;
图10是表示向高介电常数材料膜上形成栅极电极的一个例子的剖面模式图;
图11是表示形成MOS电容器的一个例子的剖面模式图;
图12是表示通过离子射入(注入)形成源极、漏极的一个例子的剖面模式图;
图13是表示根据本发明而得到的MOS晶体管结构的一个例子的剖面模式图;
图14是表示在通过RLSA氧化工序而形成的氧化膜和HfSiO膜上实施了氧化工序处理时的导电膜厚(Teq)随氧化时间变化的图表;
图15是表示在通过RLSA氧化工序而形成的氧化膜和HfSiO膜上实施了氧化工序处理时的导电膜厚(Teq)和导电膜厚均匀性随氧化时间变化的图表。
具体实施方式
下面,根据需要在参照附图的同时对本发明进行更具体的说明。在以下记载中,如果没有特别的说明,那么表示定量比值的“部”以及“%”都表示质量基准。
(基底膜的形成方法)
在本发明中,向配置在电子器件用基底材料上所配置的绝缘膜的表而照射基于下述处理气体的等离子体,从而在该绝缘膜和电子器件用基底材料的界面上形成基底膜,其中,所述处理气体至少包括含有氧原子的气体。
(绝缘膜)
虽然对构成在本发明中可使用的绝缘膜的材料没有做特别的限制,但是从实用的MOS晶体管这一点来看,可优选使用从下述组中所选择的一种或两种以上的物质,其中,所述组由低介电常数的SiO2、SiON,高介电常数的SiN,以及后述的高介电常数的物质构成。
(高介电常数材料)
虽然对可在本发明中使用的高介电常数材料没有做特别的限制,但是从MOS晶体管的实用级别的趋向这一点来看,k(介电常数)的值为8以上,10以上更好。
这种高介电常数材料,例如可优选使用从Al2O3、ZrO2、HfO2、Ta2O5及ZrSiO、HfSiO等硅酸盐、ZrAlO等铝酸盐所组成的组中所选择出的一种或两种以上。
(电子器件用基底材料)
对可在本发明中使用的上述电子器件用基底材料没有做特别的限制,从而可以从公知的电子器件用基底材料的一种或者两种以上的组合中适当地进行选择使用。作为这种电子器件用基底材料的例子,如可列举出半导体材料、液晶器件材料等。作为半导体材料的例子,如可列举出以单结晶硅为主要成分的材料、高性能(high paformance)CMOS等。
(底层膜)
只要可以使上述绝缘膜的界面特性提高,所以对基底膜的组成、膜厚以及积层的形态等就没有特别的限制。从晶体管特性这一点来看,可优选使用基底氧化膜作为基底膜。
这种基底氧化膜优选具有6~12A的厚度,具有6~8A的厚度更好。
(处理气体条件)
在本发明的基底膜制造过程中,从所应该形成的基底膜的特性这一点来看,可优选使用下述条件。
稀有气体(例如Kr、Ar、He或者Xe):300~2000sccm,1000~2000sccm更好;
O2:1~500sccm,10~300sccm更好;
温度:室温(25℃)~500℃,250~500℃更好,250~400℃尤其好;
压力:3~500Pa,7~260Pa更好;
微波:1~5W/cm2,2~4W/cm2更好,2~3W/cm2尤其好。
(热处理(annealing))
在本发明中,在进行完上述的改性后,根据需要,还可以对绝缘膜进行热处理。虽然对该热处理条件没有做特别的限制,但是从晶体管特性这一点来看,可优选使用含有O2气体及/或N2气体的处理气体。下面表示的是可在本发明中优选使用的条件的一例。
(优选的热处理条件)
稀有气体(例如Kr、Ar、He或Xe):0~5000sccm,0~1000sccm更好;
O2:10~1000sccm,10~100sccm更好;
N2:1000~5000sccm,1000~3000sccm更好;
温度:室温(25℃)~1050℃,600~1050℃更好;
压力:100~101kPa,1k~101kPa更好;
虽然对可在本发明中使用的等离子体没有做特别限制,但是从可容易得到均匀的薄膜这一点来看,优选使用电子温度比较低且高密度的等离子体。
(优选的等离子体)
可在本发明中优选使用的等离子体的特性如下。
电子温度:0.5-2.0eV
密度:1E10~5E12/cm3
等离子体密度的均匀性:±10%
(平面天线部件)
在本发明的电子器件材料的制造方法中,通过具有多个隙缝的平面天线部件照射微波,从而形成电子温度低且密度高的等离子体。在本发明中,因为使用具有这种优秀特性的等离子体来形成基底膜,所以可以减小等离子体损伤,且可以在低温下完成高反应率的工序。而且,在本发明中,(与使用以往的等离子体的情况相比)通过平面天线部件照射微波,可以得到易于形成优质基底膜的优点。
根据本发明可以形成优质基底膜。因此,通过在该基底膜上形成其他层(例如电极层),易于形成特性优良的半导体装置的结构。
(基底膜的优选特性)
根据本发明可以如下述那样易于形成具有优选特性的基底膜。
(半导体结构的优选特性)
虽然对本发明的方法应适用的范围没有做特别限制,但是可通过本发明而形成的优质基底膜,可尤其优选作为MOS结构的栅极绝缘膜来利用。
(MOS半导体结构的优选特性)
可通过本发明而形成的极薄且优质的基底膜,可尤其优选作为半导体装置的绝缘膜(尤其是MOS半导体结构的栅极绝缘膜)来使用。
根据本发明,可以如下述那样容易地制造具有优选特性的MOS半导体结构。此外,当评价通过本发明而形成的基底膜的特性时,例如,形成文献(VLSI器件物理岸野正刚、小柳光正著丸善P62~63)中所记载的那样的标准的MOS半导体结构,从而可以通过评价其MOS的特性来代替评价上述基底膜的特性。这是因为在这种标准的MOS结构中,构成该结构的基底膜的特性给MOS特性以强烈的影响。
(制造装置的一种方式)
下面,对本发明制造方法的优选的一种方式进行说明。
首先,关于可通过本发明的电子器件材料的制造方法而制造的半导体装置的结构的一例,一边参照图1(a)(b),一边说明具有将栅极绝缘膜用作绝缘膜的MOS结构的半导体装置。
参照图1(a),在该图1(a)中,参照标号1是硅衬底,11是场氧化膜,2是栅极绝缘膜,13是栅极电极。参照图1(b),栅极绝缘膜2由基底氧化膜21和高介电常数物质22构成。如上所述,根据本发明的制造方法可以形成极薄的优质基底氧化膜21。
在该例中,该高质量的基底氧化膜21最好由硅氧化膜(以下称“SiO2膜”)构成,其中,所述硅氧化膜的形成为:在将高介电常数物质成膜之后,在包括O2及稀有气体的处理气体存在的条件下,经由具有多个隙缝的平面天线部件向以Si为主要成分的被处理基底材料上照射微波,从而形成等离子体,并利用该等离子体在所述高介电常数物质和衬底的界面间形成所述硅氧化膜。在使用这样的基底SiO2膜时,如后所述,具有以下特征:Si/栅极绝缘膜界面特性(例如,界面能级)良好,且易于得到良好的栅极漏电特性。
(制造方法的一种方式)
接下来,对配置有这种栅极绝缘膜2、栅极电极13的电子器件材料的制造方法进行说明。
图2是表示用于实施本发明电子器件材料的制造方法的半导体制造装置30整体结构的一例的简图(平面模式图)。
如图2所示,大约在该半导体制造装置30的中央配置有用于搬运晶片W(图2)的搬运室31,此外,围绕着该搬运室31的周围,还设有用于对晶片进行各种处理的等离子体处理单元32、33;用于进行各处理室间连通/切断操作的两个负载锁定(load lock)单元34及35;用于进行各种加热操作的加热单元36;以及用于对晶片进行各种加热处理的加热反应炉47。此外,加热反应炉47也可以与上述半导体制造装置30分开而独立设置。
在负载锁定单元34、35的横向上还分别设有用于进行各种预冷和冷却操作的预冷单元45、冷却单元46。
在搬运室31的内部设有搬运臂37及38,从而可以在所述各单元32~36之间搬运晶片W(图3)。
在负载锁定单元34及35的图中前面一侧设有装载臂(loader arm)41及42。这些装载臂41及42,进而,可以在其前面一侧所设的盒式台43上所设置的四个盒子44之间将晶片W取出放入。
此外,作为图2的等离子体处理单元32、33,两个同型的等离子体处理单元被并列设置。
而且,这些等离子体处理单元32及单元33可以一起更换为单室型CVD处理单元,也可以在等离子体处理单元32或者33的位置上设置一个或者两个单室型CVD处理单元。
当等离子体处理是两个时,例如,可以在处理单元32中进行了氧化处理之后,再在处理单元33中进行氮化处理的方法;也可以在处理单元32及33中并列进行氧化处理和氮化处理。
(等离子体处理装置的一种方式)
图3是可在栅极绝缘膜2的成膜过程中使用的等离子体处理单元32(33)的垂直方向的剖面模式图。
参照图3,参照标号50是例如由铝形成的真空容器。在该真空容器50的上面形成有比衬底(例如晶片W)大的开口部分51,而且为了堵住该开口部分51,还设有例如由石英或氮化铝等电介质构成的扁平圆柱状的盖板54。在作为该盖板54下表面的真空容器50的上部侧壁上,例如在沿其圆周方向均匀配置的16处位置上设有气体供给管72,并从该气体供给管72将处理气体均匀地供给到真空容器50的等离子体区域P附近,其中所述处理气体包括O2和从稀有气体、N2以及H2等中所选择的一种以上的气体。
在盖板54的外侧,经由具有多个隙缝的平面天线部件,如由铜板形成的平面天线(RLSA)60,形成高频率电源部分,并设有连接在微波电源部分61上的波导路径63,其中所述微波电源部分61产生例如2.45GHz的微波。该波导路径63由下述部件组合而成:下边缘被连接在RLSA 60上的扁平圆形波导管63A;一端被连接在所述圆形波导管63A的上表面的圆柱形波导管63B;该圆柱形波导管63B的上表面所连接的同轴波导转换器63C;以及一端被成直角地连接在所述同轴波导转换器63C的侧面,而另一端被连接在微波电源部分61上的矩形波导管63D。
在所述圆柱形波导管63B的内部,将由导电性材料构成的轴部分62同轴设置,即,使其一端连接在RLSA 60上表面的大约中央部分,另一端连接在圆柱形波导管63B的上表面,因此,该波导管63B构成了同轴波导管。
此外,在真空容器50内,相对盖板54设有晶片W的载物台52。在该载物台52内,内置有未图示的调温部分,由此,该载物台52具有作为加热板的功能。此外,真空容器50的底部与排气管53的一端连接,且该排气管53的另一端连接在真空泵55上。
(RLSA的一种方式)
图4是表示可在本发明的电子器件材料的制造装置中使用的RLSA 60的一例的平面模式图。
如该图4所示,对于该RLSA 60,在其表面上形成有多个隙缝60a、60a、……呈同心圆状。各隙缝60a是长方形的通槽,且如下设置:邻接的缝隙之间彼此垂直相交,大致呈字母“T”字形。隙缝60a的长度和排列间隔根据由微波电源部分61所产生的微波的波长来确定。
(加热反应炉的一种方式)
图5是表示可在本发明的电子器件材料的制造装置中使用的加热反应炉47的一例的垂直方向的剖面模式图。
如图5所示,加热反应炉47的处理室82形成了如由铝等构成的可气密结构。在处理室82内设有加热机构和冷却机构,虽然它们在该图5中被省略了。
如图5所示,在处理室82的上部中央连接有用于导入气体的气体导入管83,从而处理室82的内部与气体导入管83的内部被连通。而且,气体导入管83还连接在气体供给源84上。并且,从气体供给源84向气体导入管83供给气体,并经由气体导入管83将气体导入到处理室82内。该气体是形成高介电常数绝缘膜的原料,例如可以使用HTB或者硅烷等各种气体,而且根据需要还可以使用惰性气体作为载体气体。
处理室82的下部连接有用于将处理室82内的气体排出的排气管85,且排气管85连接在由真空泵等构成的排气装置(未图示)上。通过该排气装置,处理室82内的气体被从排气管85排出,从而将处理室82内设定为所需的压力。
另外,在处理室82的下部配置有安放晶片W的载物台87。
在图5所示的状态中,通过直径与晶片W大致相同的静电卡盘(未图示)将晶片W安放在载物台87上。在该载物台87内部设有未图示的热源装置,从而形成可以将安放在载物台87上的晶片W的处理表面调整到所需温度的结构。
该载物台87根据需要形成可将安放的晶片W旋转的结构。
在图5中,在载物台87右侧的处理室82的壁面上设有用于使晶片W出入的开口部分82a,该开口部分82a的开闭是通过沿图中的上下方向移动闸门阀98来进行的。在图5中,在闸门阀98的右侧还邻近地设有用于搬运晶片W的搬运臂(未图示),且搬运臂经由开口部分82a出入处理室82内,从而将晶片W安放在载物台87上,并将处理后的晶片W从处理室82搬运出。
在载物台87的上方设有作为淋浴部件的淋浴头88。该淋浴头88划分了载物台87和气体导入管83之间的空间,例如由铝等形成。
淋浴头88的上部中央设有气体导入管83的气体出口83a,并通过设置在淋浴头88下部的气体供给孔89将气体导入到处理室82内。
(MOS晶体管形成的方式)、
下面,对使用上述装置在晶片W上形成具有绝缘膜2的MOS晶体管的方法的优选的一例进行说明,其中,所述绝缘膜2由基底膜21及高介电常数绝缘膜22构成。
图6~13是表示本发明的方法中各工序的一例的模式图。
参照图6,首先,在前面的工序中,在晶片W表面上形成元件分离的场氧化膜、沟道注入以及牺牲氧化膜。然后,除去该牺牲氧化膜。
接下来,打开设置在等离子体处理单元32(图3)内的真空容器50侧壁上的闸门阀(未图示),并通过搬运臂37、38将图8的除去了牺牲氧化膜的晶片W安放在载物台52(图3)上。
接着,当关闭闸门阀将内部密封后,利用真空泵55,经由排气管53将内部气体排出,直到将其抽成规定真空度的真空,并维持在规定压力。另一方面,通过微波电源部分61产生例如2W/cm2的微波,并通过波导路径引导该微波,从而经由RLSA 60及盖板54导入真空容器50内,这样,可以在真空容器50内的上部一侧的等离子体区域P内产生高频等离子体。
此处,微波在矩形波导管63D内以矩形模式传送,并通过同轴波导转换器63C从矩形模式转换为圆形模式,再以圆形模式传过圆筒形同轴波导管63B,再进一步在圆形波导管63A中以扩散状态传送,然后通过RLSA60的隙缝60a辐射,并透过盖板54导入到真空容器50内。此时,由于使用微波来产生高密度等离子体,而且,由于从RLSA 60的多个隙缝60a辐射微波,所以该等离子体是高密度的。
在微波导入前,一边调节载物台52的温度,将晶片W加热到例如400℃,一边通过气体供给管72将作为氧化膜形成用处理气体的氪或氩等稀有气体和N2气体分别以例如1000sccm、40sccm的流量导入,从而实施图7的工序(高介电常数成膜前的氮化处理)。通过实施本处理,在高介电常数成膜时,高介电常数物质和硅衬底反应,从而可以抑制在界面上形成硅氧化膜。
然后,将晶片W设置在热处理单元47内。在该热处理单元47内,在晶片W的上部形成高介电常数物质膜。例如,当在上述硅衬底W上形成硅酸铪(HfSiO)膜时,分别以每次1sccm、400sccm导入三次四乙氧基铪(HTB:Hf(OC2H5)4)和硅烷气体(SiH4),并将压力保持在50Pa。HTB的流量是液体质量流量(mass flow)控制装置的流量,硅烷气体的流量是气体质量流量控制装置的流量。在该气氛中以350℃对所述硅衬底进行加热,从而通过在衬底上使Hf、Si和O的反应粒子进行反应来形成HfSiO膜。通过控制包括处理时间的工序条件形成4nm的HfSiO膜(图8)。
然后,打开闸门阀(未图示),使搬运臂37、38(图2)进入真空容器47内获取晶片W。当该搬运臂37、38将晶片W从热处理单元47取出后,将其设置在等离子体处理单元33内的载物台上。
(含氮层(窒化含有
Figure A20061016232400171
)形成的方式)
下面,如图11所示,在该等离子体处理单元33内,在晶片W上实施氧化处理,从而在已经形成的高介电常数绝缘膜2的下表面上形成基底氧化膜21(图1(b))。
在该基底氧化膜形成之际,例如,在真空容器50内,在晶片温度例如为400℃、工序压力例如为133Pa(1Torr)的状态下,通过气体导入管将氩气和氧气分别以例如2000sccm、200sccm的流量导入容器50内。
另一方面,通过微波电源部分61产生例如2W/cm2的微波,并通过波导路径引导该微波,从而经由RLSA 60及盖板54导入真空容器50内,这样,可以在真空容器50内的上部一侧的等离子体区域P内产生高频等离子体。
在该工序(底层氧化膜的形成)中,将导入的气体等离子体化,从而形成氧基团。该氧基团透过高介电常数物质与硅衬底反应,并在高介电常数物质和硅衬底界面上形成SiO2膜。这样,如图1(b)所示,在晶片W上的高介电常数物质22和硅衬底1的界面上形成基底氧化膜21。
(栅极电极的形成方式)
然后,在形成有高介电常数物质和基底氧化膜的晶片W上形成栅极电极13(图1(a))(图10)。该栅极电极的形成是在与图5所示的相同的热处理单元内进行的。该热处理单元可以如图2所示那样与半导体制造装置30一体设置,此外,也可以在其他装置中进行处理。
此时,可以根据形成的栅极电极13的种类来选择处理条件。
即,在形成由多晶硅组成的栅极电极13时,例如,可以使用SiH4作为处理气体(电极形成气体),并在10~500Pa的压力、580~680℃的温度条件下进行处理。
此外,在形成由非晶硅组成的栅极电极13时,例如,可以使用SiH4作为处理气体(电极形成气体),并在10~500Pa的压力、500~580℃的温度条件下进行处理。
(氧化膜的品质)
在上述图11的工序中,在形成栅极基底膜用的基底氧化膜之际,在处理气体存在的条件下,经由具有多个缝隙的平面天线部件(RLSA)向以Si为主要成分的晶片上照射微波,从而形成包括氧气(O2)及稀有气体的等离子体,并且由于使用该等离子体在所述被处理基底材料表面上形成氧化膜,所以,可以高质量且自始至终地进行膜质控制。
然后,进行栅极的图案化、选择蚀刻,并形成MOS电容器(图11),并通过实施离子射入(注入)来形成源极、漏极(图12)。然后,通过热处理来进行掺杂剂(向沟道、源极、漏极所注入的磷(P)、砷(As)、硼(B)等)的活性化。然后,经过作为后续工序的布线工序而得到本方式的MOS晶体管(图13),其中所述布线工序是层间绝缘膜的成膜、图案化、选择蚀刻、金属成膜组合而成的。最终,在该晶体管上部以各种图案实施布线工序,并通过制作电路来完成逻辑器件。
此外,在本方式中,虽然形成Hf硅酸盐(HfSiO膜)作为绝缘膜,但是也可以形成由其它组份组成的绝缘膜。作为栅极绝缘膜,可以从下组列举中选择一种或者两种以上,其中所述列举包括:以前所使用的低介电常数的SiO2、SiON,和介电常数比较高的SiN或称为高介电常数物质的高介电常数的Al2O3、ZrO2、HfO2、Ta2O5,以及ZrSiO、HfSiO等硅酸盐或ZrAlO等铝酸盐。
此外,虽然只采用了以热CVD法为高介电常数物质的成膜方法的实施例,但是高介电常数物质的形成方法可以是任意的,例如通过等离子体CVD法或PVD法来进行成膜也可以。
此外,在本实施例中,虽然只注重于等离子体氧化处理所产生的效果,但是也可以应用等离子体氮化处理或等离子体氧化处理和氮化处理组合而成的处理等来代替等离子体氧化处理。
以下,通过实施例来对本发明进行更具体的说明。
实施例
实施例1
图14、图15分别表示在通过RLSA氧化工序而形成的氧化膜和HfSiO膜上实施氧化等离子体处理时的导电膜厚(Teq)和导电膜厚的均匀性(范围:图内Teq的最大值和最小值的差)随氧化时间的变化。横轴表示氧化处理时间,纵轴表示Teq及范围。图14、图15的采样是通过以下方法来制作的。
(1)衬底
在衬底中,使用P型的硅衬底,并使用比电阻是8~12Ωcm、面方位(100)的硅衬底。在硅衬底表面上,通过热氧化法形成500A的牺牲氧化膜。
(2)形成HfSiO膜之前的处理
通过组合APM(氨水、双氧水、纯水的混合液)和HPM(盐酸、双氧水、纯水的混合液)以及DHF(氢氟酸和纯水的混合液)而成的RCA洗剂来除去牺牲氧化膜和污染成分(金属或有机物、微粒)。APM的药液浓度比是NH4OH∶H2O2∶H2O=1∶2∶10,温度是60℃。此外,HPM浓度比是HCl∶H2O2∶H2O=1∶1∶5,温度是60℃,DHF浓度比是HF∶H2O=1∶99,温度是23℃。当处理进行了APM10分→纯水冲洗5分→DHF 23分→纯水冲洗5分→HPM10分→纯水冲洗5分→最后纯水冲洗10分后,进行9分钟IPA(异丙醇,220℃)干燥,从而使晶片上的水分干燥。将该衬底保持在700℃,并在以2000sccm导入了NH3的气氛下(大气压)保持一分钟,从而在衬底表面上形成了薄氮化层(SiN层)。通过形成该SiN层可以抑制硅衬底和HfSiO膜的热反应。
(3)HfSiO成膜
在上述2的硅衬底上形成硅酸铪(HfSiO)膜。分别以每次1sccm、400sccm导入三次四乙氧基铪(HTB:Hf(OC2H5)4)和硅烷气体(SiH4),并将压力保持在50Pa。HTB的流量是液体质量流量控制装置的流量,硅烷气体的流量是气体质量流量控制装置的流量。在该气氛中以350℃加热所述2的硅衬底,从而通过在衬底上使Hf、Si和O的反应粒子反应来形成HfSiO膜。通过控制包括处理时间的工序条件形成了4nm的HfSiO膜。
(4)RLSA氧化处理
在实施了上述3的处理的硅衬底上实施RLSA等离子体氧化处理。在加热到400℃的硅衬底上,每次分别流过2000sccm、20sccm的稀有气体和氧气,并将压力保持在67Pa(500mTorr)。在该气氛中,经由具有多个隙缝的平面天线部件(RLSA)照射2.8W/cm2的微波,从而形成包括氧气及稀有气体的等离子体,并使用该等离子体在所述3的衬底上实施等离子体氧化处理。
(5)栅极电极用TiN成膜
在通过上述(3)~(4)而形成的HfSiO膜上,以及在省略了作为参考的3的HfSiO成膜而只进行4的氧化处理的氧化膜上,通过CVD法形成作为栅极电极的氮化钛(TiN)膜。以550℃对实施了3~4的处理的硅衬底进行加热,并在200Pa的压力下,以30sccm向衬底导入TiCl4气体、以100sccm向衬底导入NH3气体、以150sccm向衬底导入N2气体,从而,在HfSiO膜上形成膜厚为800A的电极用TiN膜。
(6)图案化、栅极蚀刻
在通过上述(5)而制成的TiN电极上通过平版印刷术来实施图案化,并通过在双氧水(H2O2)药液中浸泡硅衬底90分钟来溶化没有被图案化部分的TiN,从而制成MOS电容器。
实施例2
关于在实施例1中制作的MOS电容器,评价其CV特性行。通过下面示意的方法来进行该测定。对栅极电极面积是10000μm2的电容器的CV、特性进行评价。CV特性是通过评价在频率1MHz、栅极电压从1V到-2V扫描的各电压时的电容所得。从CV特性来计算导电膜厚。
图14表示在通过RLSA氧化工序而形成的氧化膜和HfSiO膜上实施氧化等离子体处理时的导电膜厚(Teq)。横轴表示氧化处理时间,纵轴表示导电膜厚(Teq)。
图14所示,参考氧化膜在20秒以上的氧化时间内形成25A以上的膜厚。由于处理时间越短则工序的再现性就越低,对膜厚的控制也就越困难,所以并不适用于20秒以下的短时间的工序。因此,在图16的参考中所示的通常的氧化方法中,作为高介电常数氧氮化膜是难以得到所要求的膜厚(10A以下)的。与其相反,当在图14所示的HfSiO膜上实施RLSA氧化处理时,相对于初期的膜厚(约16A),即使在实施了35秒以上的长时间的处理时,导电膜厚的增加也会被抑制在10A范围之内。因为在氧化工序中只使用稀有气体和氧气,所以认为引起该膜的增厚的原因是氧气。膜的增厚被认为是从界面开始的膜的增厚和膜本身(体积)的增厚。现在,包括了HfSiO膜的高介电常数物质的问题是存在由高温热处理导致的结晶。这是由于膜中的Si原子的绝对量少而引起的。所以,通过将氧气混入到膜中的膜增厚是由于在Si-Si结合内进入O而产生的膜增厚的可能性较低。此外,大量含有Hf-O的结合也是众所周知的。综上所述,对膜的增厚最有帮助的部分是从衬底开始的膜的增厚,也就是在界面上形成氧化膜的可能性较高。因此,认为根据本发明可以在界面上形成极薄的氧化膜。
图15分别表示在通过RLSA氧化工序而形成的氧化膜和HfSiO膜上实施氧化等离子体处理时的导电膜厚的均匀性(范围:面内的Teq的最大值与最小值之差)随氧化时间的变化。横轴表示氧化处理时间,纵轴表示范围。
如图15所示,参考RLSA氧化膜相对处理时间,范围的值没有变化,但是当对HfSiO膜实施RLSA氧化处理时,范围随着处理时间的增加而减小,即观察到了均匀性提高。该机构被认为如下所述。如前所述,膜的增厚的主要原因是界面氧化膜的增厚,膜薄的部分会产生很强的增厚效果,膜厚的部分会产生较弱的增厚效果。因此,可以认为膜厚的不均匀性是通过实施RLSA氧化来改善的,从而导电膜厚变得均匀。因此,图15的结果支持前面所述的图14的膜的增厚机构。
如上所述,通过在形成HfSiO膜以后实施等离子体处理,可以实现在单体氧化工序中难以实现的、极薄的、10A以下的基底膜的形成,且可以形成具有良好均匀性的HfSiO。
此外,虽然在上述例子中只提及了利用本发明制造的HfSiO膜,但是,对其它的高介电常数物质实施同样的处理也可以实现同样的效果。
工业上的可利用性
根据上述本发明,可以提供一种在绝缘膜和电子器件用基底材料之间的界面上形成使该绝缘膜特性提高的优质基底膜的方法。

Claims (28)

1.一种绝缘膜的形成方法,其特征在于,包括以下工序:
准备在其表面上形成有绝缘膜的基板;
在所述基板上生成处理气体的等离子体,所述处理气体至少含有包括氧原子的气体;
对所述绝缘膜的表面照射所述等离子体,从而在所述绝缘膜与所述基板的界面上形成氧化膜。
2.一种绝缘膜的形成方法,其特征在于,包括以下工序:
在基板上形成高介电常数绝缘膜;
在所述高介电常数绝缘膜上生成处理气体的等离子体,所述处理气体至少含有包括氧原子的气体;
对所述高介电常数绝缘膜的表面照射所述等离子体,从而在所述高介电常数绝缘膜与所述基板的界面上形成氧化膜。
3.如权利要求1所述的绝缘膜的形成方法,其中,
所述绝缘膜是包含高介电常数材料的膜。
4.如权利要求2或3所述的绝缘膜的形成方法,其中,
所述高介电常数材料由选自Al2O3、ZrO2、HfO2、Ta2O5、高介电常数硅酸盐ZrSiO、HfSiO、以及高介电常数铝酸盐ZrAlO的至少一者构成。
5.如权利要求1或2所述的绝缘膜的形成方法,其中,
所述处理气体包含Kr、Ar、He、Xe中的一种稀有气体。
6.如权利要求1或2所述的绝缘膜的形成方法,其中,所述包括氧原子的气体为O2气。
7.如权利要求1或2所述的绝缘膜的形成方法,其中,所述氧化膜的厚度为6~12。
8.一种绝缘膜的形成方法,其特征在于,包括以下工序:
在基板上形成HfSiO膜;
在所述HfSiO膜上生成处理气体的等离子体,所述处理气体至少含有包括氧原子的气体;
对所述HfSiO膜的表面照射所述等离子体,从而在所述HfSiO膜与所述基板的界面上形成氧化膜。
9.一种绝缘膜的形成方法,其特征在于,包括以下工序:
在基板上形成HfSiO膜;
在所述HfSiO膜上生成处理气体的等离子体,所述处理气体至少含有包括氧原子的气体;
对所述HfSiO膜的表面照射所述等离子体,进行等离子体氧化处理,从而在所述HfSiO膜与所述基板的界面上形成氧化膜,
氮化处理所述HfSiO膜的表面。
10.如权利要求1、2、8或9所述的绝缘膜的形成方法,其中,所述等离子体的密度为1×1010~5×1012/cm3
11.如权利要求8或9所述的绝缘膜的形成方法,其中,所述处理气体包含Kr、Ar、He、Xe中的一种稀有气体,所述包括氧原子的气体为O2气。
12.如权利要求1、2、8或9所述的绝缘膜的形成方法,其中,通过平面天线部件来生成所述等离子体。
13.如权利要求1、2、8或9所述的绝缘膜的形成方法,其中,所述基板温度为室温~500℃。
14.如权利要求1、2、8或9所述的绝缘膜的形成方法,其中,形成所述氧化膜的压力为3~500Pa。
15.如权利要求8或9所述的绝缘膜的形成方法,其中,所述氧化膜为氧化硅膜,该氧化膜的厚度为6~12。
16.如权利要求1、2、8或9所述的绝缘膜的形成方法,其中,所述等离子体的电子温度为0.5~2.0eV。
17.如权利要求12所述的绝缘膜的形成方法,其中,通过微波来生成所述等离子体。
18.如权利要求1、2或8所述的绝缘膜的形成方法,其中,还包括形成所述氧化膜之后在高温下热处理所述基板的工序。
19.如权利要求18所述的绝缘膜的形成方法,其中,在N2气氛、O2气氛、或N2和O2气氛的某一种气氛中进行所述热处理。
20.如权利要求18或19所述的绝缘膜的形成方法,其中,在600~1100℃的温度下进行所述热处理。
21.如权利要求8或9所述的绝缘膜的形成方法,其中,使用Hf(OC2H5)4和SiH4来形成所述HfSiO膜。
22.如权利要求8或9所述的绝缘膜的形成方法,其中,还包括在形成所述HfSiO膜之前清洗所述基板的工序。
23.一种形成绝缘膜的半导体制造装置,其特征在于,具有:
配置基板的盒式台;
将基板从所述盒子中取出或将基板放入所述盒子中的第一臂;
等离子体氧化处理或等离子体氮化处理所述基板的、至少一个以上的等离子体处理单元;
加热所述基板的加热单元;
在所述基板上形成高介电常数膜的加热反应炉;
配置所述等离子体处理单元和加热单元的搬运室;
配置在所述搬运室内、在所述各个单元之间搬运所述基板的第二臂;以及
连通或切断所述处理单元的负载锁定。
24.一种半导体装置的制造方法,其特征在于,包括以下工序:
清洗半导体基板;
在所述基板上形成高介电常数的绝缘膜;
对所述绝缘膜的表面照射包括氧原子的气体的等离子体,从而在所述高介电常数绝缘膜与所述基板的界面上形成氧化膜;
在所述高介电常数绝缘膜上形成电极。
25.如权利要求24所述的半导体装置的制造方法,其中,所述高介电常数的绝缘膜由Al2O3、ZrO2、HfO2、Ta2O5、HfSiO、ZrAlO中的至少一种形成。
26.如权利要求24所述的半导体装置的制造方法,其中,所述高介电常数的绝缘膜为HfSiO。
27.如权利要求24或26所述的半导体装置的制造方法,其中,包括在形成所述高介电常数的绝缘膜之后进行热处理的工序。
28.如权利要求24、26或27所述的半导体装置的制造方法,其中,包括氮化处理所述高介电常数绝缘膜的工序。
CNB2006101623240A 2002-03-29 2003-03-31 基底绝缘膜的形成方法 Expired - Fee Related CN100561684C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002097845 2002-03-29
JP200297845 2002-03-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB038025922A Division CN100390945C (zh) 2002-03-29 2003-03-31 基底绝缘膜的形成方法

Publications (2)

Publication Number Publication Date
CN1967787A true CN1967787A (zh) 2007-05-23
CN100561684C CN100561684C (zh) 2009-11-18

Family

ID=29240156

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2006101623240A Expired - Fee Related CN100561684C (zh) 2002-03-29 2003-03-31 基底绝缘膜的形成方法
CNB038025922A Expired - Fee Related CN100390945C (zh) 2002-03-29 2003-03-31 基底绝缘膜的形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB038025922A Expired - Fee Related CN100390945C (zh) 2002-03-29 2003-03-31 基底绝缘膜的形成方法

Country Status (8)

Country Link
US (1) US7622402B2 (zh)
EP (1) EP1492161A4 (zh)
JP (2) JP4162601B2 (zh)
KR (1) KR100744590B1 (zh)
CN (2) CN100561684C (zh)
AU (1) AU2003221055A1 (zh)
TW (1) TW200402796A (zh)
WO (1) WO2003088341A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106601588A (zh) * 2016-12-06 2017-04-26 湖南红太阳光电科技有限公司 一种氧化硅钝化层的制备方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP4526995B2 (ja) * 2004-04-09 2010-08-18 東京エレクトロン株式会社 ゲート絶縁膜の形成方法ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム
EP1742273A4 (en) * 2004-04-09 2008-07-09 Tokyo Electron Ltd METHOD FOR FORMING GRID ISOLATION FILM, STORAGE MEDIUM, AND COMPUTER PROGRAM
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
JP2006216625A (ja) * 2005-02-01 2006-08-17 Tohoku Univ 薄膜形成装置,薄膜及びその形成方法,半導体装置及びその製造方法
JP4509839B2 (ja) * 2005-03-29 2010-07-21 東京エレクトロン株式会社 基板処理方法
JP4522900B2 (ja) 2005-03-30 2010-08-11 東京エレクトロン株式会社 成膜方法および記録媒体
CN101454892B (zh) * 2006-05-26 2011-12-14 株式会社半导体能源研究所 半导体器件及其制造方法
KR20080086686A (ko) * 2007-03-23 2008-09-26 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR101050457B1 (ko) * 2008-08-29 2011-07-19 주식회사 하이닉스반도체 반도체장치의 고전압게이트절연막 형성 방법
JP5166297B2 (ja) * 2009-01-21 2013-03-21 東京エレクトロン株式会社 酸化珪素膜の形成方法、半導体メモリ装置の製造方法およびコンピュータ読み取り可能な記憶媒体
US8569106B2 (en) 2009-03-05 2013-10-29 Mitsubishi Electric Corporation Method for manufacturing silicon carbide semiconductor device
CN102460638A (zh) 2009-06-26 2012-05-16 东京毅力科创株式会社 通过无定形碳(少量添加硅)的含氧掺杂改善氟碳化合物(CFx)膜的粘附性的技术
US8497196B2 (en) * 2009-10-04 2013-07-30 Tokyo Electron Limited Semiconductor device, method for fabricating the same and apparatus for fabricating the same
US8987145B2 (en) 2011-03-04 2015-03-24 Asahi Kasei Microdevices Corporation Semiconductor device, manufacturing method of the semiconductor device
CN103165437B (zh) * 2011-12-12 2016-06-29 无锡华润上华科技有限公司 一种栅氧刻蚀方法和多栅极制作方法
US8993459B2 (en) 2012-08-31 2015-03-31 Globalfoundries Inc. Method of forming a material layer in a semiconductor structure
CN103887241B (zh) * 2014-03-06 2016-09-28 北京大学 一种适用于锗基阱的制备方法
IT201700053902A1 (it) * 2017-05-18 2018-11-18 Lfoundry Srl Metodo di bonding ibrido per wafer a semiconduttore e relativo dispositivo integrato tridimensionale
US20210057215A1 (en) * 2019-05-03 2021-02-25 Applied Materials, Inc. Treatments to enhance material structures

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5745968A (en) * 1980-08-29 1982-03-16 Ibm Capacitor with double dielectric unit
JPH05221644A (ja) 1992-02-13 1993-08-31 Matsushita Electric Ind Co Ltd 酸化タンタル薄膜の製造方法
TW399324B (en) * 1997-01-10 2000-07-21 Hitachi Ltd The semiconductor device and its manufacturing method
US6461982B2 (en) * 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
US6497783B1 (en) * 1997-05-22 2002-12-24 Canon Kabushiki Kaisha Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
JP4069966B2 (ja) 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
US6228779B1 (en) * 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6245616B1 (en) * 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
KR100760078B1 (ko) * 2000-03-13 2007-09-18 다다히로 오미 산화막의 형성 방법, 질화막의 형성 방법, 산질화막의 형성 방법, 산화막의 스퍼터링 방법, 질화막의 스퍼터링 방법, 산질화막의 스퍼터링 방법, 게이트 절연막의 형성 방법
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
JP2001358318A (ja) * 2000-06-15 2001-12-26 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2002134739A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2002289614A (ja) * 2001-03-26 2002-10-04 Nec Corp 誘電体膜の評価方法、熱処理装置の温度校正方法及び半導体記憶装置の製造方法
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106601588A (zh) * 2016-12-06 2017-04-26 湖南红太阳光电科技有限公司 一种氧化硅钝化层的制备方法

Also Published As

Publication number Publication date
CN100561684C (zh) 2009-11-18
US20050255711A1 (en) 2005-11-17
JP4162601B2 (ja) 2008-10-08
TW200402796A (en) 2004-02-16
EP1492161A1 (en) 2004-12-29
CN100390945C (zh) 2008-05-28
KR100744590B1 (ko) 2007-08-01
JP4850871B2 (ja) 2012-01-11
WO2003088341A1 (fr) 2003-10-23
JP2008277844A (ja) 2008-11-13
US7622402B2 (en) 2009-11-24
EP1492161A4 (en) 2006-05-24
JPWO2003088341A1 (ja) 2005-08-25
CN1620720A (zh) 2005-05-25
TWI300249B (zh) 2008-08-21
AU2003221055A1 (en) 2003-10-27
KR20040086317A (ko) 2004-10-08

Similar Documents

Publication Publication Date Title
CN100347832C (zh) 电子器件材料的制造方法
CN1967787A (zh) 基底绝缘膜的形成方法
TWI228774B (en) Forming method of insulation film
US7723242B2 (en) Enhanced thin-film oxidation process
TWI354332B (zh)
CN1967780B (zh) 用于制作场效应晶体管的栅极电介质的方法
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
US20070093013A1 (en) Method for fabricating a gate dielectric of a field effect transistor
CN1860596A (zh) 电子器件材料的制造方法
US20080026553A1 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
CN1638061A (zh) 形成介电薄膜的方法
CN1873927A (zh) 等离子体处理方法
CN1669153A (zh) 半导体器件及其制造方法和制造设备
CN1732288A (zh) 形成高质量的低温氮化硅层的方法和设备
CN1820373A (zh) 栅极绝缘膜的形成方法、存储介质、计算机程序
CN1617311A (zh) 半导体器件的制造方法
KR20050033831A (ko) 절연체 박막의 제조 방법과 절연체 박막 및 반도체 장치의제조 방법과 반도체 장치
CN100380609C (zh) 半导体基片的uv增强的氧氮化
CN1509497A (zh) 半导体集成电路器件及其制造方法
CN1682357A (zh) 形成半导体基体上的绝缘膜的方法
US8759182B2 (en) Manufacturing method for semiconductor device
CN1689146A (zh) 半导体器件及其制造方法
CN1767173A (zh) 氧化铝原子淀积层的新预处理方法
CN1420533A (zh) 用于提高介电薄膜的***和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091118

Termination date: 20130331