CN1570762A - 聚合物和含有该聚合物的光刻胶 - Google Patents

聚合物和含有该聚合物的光刻胶 Download PDF

Info

Publication number
CN1570762A
CN1570762A CNA2004100552234A CN200410055223A CN1570762A CN 1570762 A CN1570762 A CN 1570762A CN A2004100552234 A CNA2004100552234 A CN A2004100552234A CN 200410055223 A CN200410055223 A CN 200410055223A CN 1570762 A CN1570762 A CN 1570762A
Authority
CN
China
Prior art keywords
polymkeric substance
group
polymer
preferred
image forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100552234A
Other languages
English (en)
Other versions
CN1570762B (zh
Inventor
A·赞比尼
张弢
J·H·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of CN1570762A publication Critical patent/CN1570762A/zh
Application granted granted Critical
Publication of CN1570762B publication Critical patent/CN1570762B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04MTELEPHONIC COMMUNICATION
    • H04M1/00Substation equipment, e.g. for use by subscribers
    • H04M1/72Mobile telephones; Cordless telephones, i.e. devices for establishing wireless links to base stations without route selection
    • H04M1/724User interfaces specially adapted for cordless or mobile telephones
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/24Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen halogen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04MTELEPHONIC COMMUNICATION
    • H04M1/00Substation equipment, e.g. for use by subscribers
    • H04M1/02Constructional features of telephone sets
    • H04M1/0202Portable telephone sets, e.g. cordless phones, mobile phones or bar type handsets
    • H04M1/0206Portable telephones comprising a plurality of mechanically joined movable body parts, e.g. hinged housings
    • H04M1/0208Portable telephones comprising a plurality of mechanically joined movable body parts, e.g. hinged housings characterized by the relative motions of the body parts
    • H04M1/0235Slidable or telescopic telephones, i.e. with a relative translation movement of the body parts; Telephones using a combination of translation and other relative motions of the body parts
    • H04M1/0237Sliding mechanism with one degree of freedom
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/108Polyolefin or halogen containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • Y10S430/115Cationic or anionic

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Signal Processing (AREA)
  • Human Computer Interaction (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Silicon Polymers (AREA)

Abstract

提供具有非碳四价元素(Si、Ti、Ge、Zr、Sn)的新聚合物和含有这种聚合物的光可成像组合物。优选的聚合物是有机的,如一种或多种含有碳原子的聚合物重复单元。尤其优选的是含有SiO2或TiO2重复单元的聚合物,并且其可以有利的用作在短波长例如亚-300nm和亚-200nm下抗蚀剂成像的树脂组分。

Description

聚合物和含有该聚合物的光刻胶
本申请要求在2003年3月3日提交的美国临时申请60/451530的权益,并引用于此作为参考。
发明背景
1.技术领域
本发明涉及具有非碳四价元素(Si、Ti、Ge、Zr、Sn)的新的聚合物,以及含有这些聚合物的光可成像组合物。优选的聚合物是有机的,例如一种或多种含碳原子的聚合重复单元。尤其优选的是含有SiO2或TiO2重复单元的聚合物,其可非常有利地作为在短波长例如亚-300nm和亚-200nm波长下成像抗蚀剂的树脂成分。
2.背景技术
光刻胶是用于将图像转移到基材上的光敏薄膜。在基材上形成光刻胶的涂层,然后将光刻胶层经由光掩模在活化辐射源下曝光。该光掩模具有对活化辐射不透明的区域和对活化辐射透明的其它区域。在活化辐射下曝光可以为光刻胶涂层提供光致化学变换,从而将光掩模的图案转移到光刻胶涂敷的基材上。在曝光之后,该光刻胶经过显影得到浮雕像,允许基材的选择性加工。
人们更多的兴趣在于用短波长辐射来进行光成像,包括约300nm或更短波长、或200nm或更短波长的曝光辐射,如约248nm(由KrF激光器提供)、193nm(由ArF曝光工具提供)、或157nm(由F2激发器提供)的波长。参见欧洲公开申请EP915382A2。
此类短的曝光波长的使用使得能够形成较小特征。因此,在248nm、193nm或157nm曝光下得到高解析图像的光刻胶使得能够形成极小(例如亚-0.2或0.1微米)特征,其对应于当前工业上所需的较小尺寸电路图形,例如提供更高的电路密度和增强的器件性能。
除了在曝光时使用较短波长,使用较薄的抗蚀剂层也是可取的。然而,使用薄的抗蚀剂层的主更缺陷是当图案尺寸变小时,通过扩散步骤到基材上和蚀刻图案内的抗蚀剂层厚度的变化增大。变化指的是任何在抗蚀剂内成像的图案尺寸随着所通过的步骤几何图形而变化。因此,在单一层抗蚀剂体系中,在晶片中缺少尺寸控制能够在整个抗蚀剂中产生不同的线宽,从而降低电子仪器的品质。
为了改进尺寸控制,已经使用了双层(或两层或多层)抗蚀剂体系。在典型双层体系中,底层抗蚀剂首先施涂在基材上使晶片表面平面化。底层抗蚀剂固化,然后另外较薄的成像顶层抗蚀剂施涂到底层抗蚀剂上。然后顶层抗蚀剂温和烘烤,使用常规的抗蚀剂曝光和显影形成图案(或成像),然后是使用顶层抗蚀剂图形作为蚀刻掩模通过底层抗蚀剂蚀刻转移顶部图案。参见Sugiyama等,Positive Excimer Laser Resists Prepared with Aliphatic Diazoketones,Soc.Plastics Eng.,Conference Proceedings,51-60页(1988年11月);和美国专利4745169、5338818、5619396、5731126、6296985和6340734。也参见WO02/091083、美国专利公开2002/0090572;和美国专利5378585。
已经报道了用于成像的一些无机Si组合物。参见Fedynyshyn等,Encapsulated Inorganic Resist Technology,Proceedings of SPIE,卷3999第627页(2000);Y.Hu等,Nanocomposite resists for electron beamnonlithography,Microelectronic Engineering  56,289(2001);L.Merhai等,Nanocomposite resists systems for next generation lithography,MicroelectronicEngineering 1(2002)。目前这些报道的体系对于高性能应用是不实际的。
与薄层双层抗蚀剂体系有关的问题是为曝光辐射提供可接受的透明度和优良的耐等离子蚀刻性。对于在亚-200nm波长如193nm和157nm成像的双层抗蚀剂,这尤其成为一个问题。参见美国公开申请2003/0207205和美国专利6593058,其中报道了增加双层抗蚀剂的耐蚀刻性的尝试。
希望得到新的光刻胶,其能够提供高分辨率的小图像。尤其希望得到能够用短的波长辐射,包括亚-300nm如248nm和亚-200nm辐射如193nm和157nm有效成像的新的光刻胶。更希望得到具有耐等离子蚀刻性和对于短曝光波长例如193nm和157nm具有良好的透明度的光刻胶。
发明内容
我们现在提供新的含有非碳四价元素(Si、Ti、Ge、Zr、Sn)的新的聚合物,以及含有这些聚合物的光刻胶。本发明优选的聚合物含有有机成分。
本发明优选的聚合物具有高SiO和/或TiO含量,尤其其中聚合物含有重复单元,在单元中组合含有式SiO1.5<X<2的SiO或含有式TiO1.5<X<2的TiO。
已经发现本发明的聚合物能够显示出异常工作性能,使其特别适用于作为光刻胶树脂。特别的,本发明优选的聚合物能够显示出高的玻璃化转变温度(例如高于约150℃或160℃);高Si或Ti含量其可以给予良好的耐等离子蚀刻性;以及对曝光辐射极好的透明度,包括短波长例如亚-200nm,如193nm和157nm。
已经证实含有这些聚合物的本发明的光刻胶,在亚-200nm和亚-170nm,尤其是193nm和157nm曝光波长下能够提供高解析度图像。参见,例如后面实施例中的结果。
本发明优选的聚合物含有能够光致成像的官能团,例如光酸不稳定基团如光酸不稳定的酯基或缩醛基或其它对比度增强基团例如氟化醇(以提供正色调抗蚀剂浮雕像),或用于交联的位点例如羟基(以提供负色调抗蚀剂浮雕像)。
如以上所述,优选的聚合物是有机的且含有一个或多个重复单元,其中含有含碳基团例如烷基、碳脂环基,或碳环芳香基如苯基、萘基等。优选地,含碳基团可以散布在整个聚合物(如不仅是末端基团)并且可以是侧链或含有聚合物骨架部分。合适的,至少聚合物的5重量%是碳,优选至少聚合物的约10、20、30或40重量%是碳。
用于本发明光可成像组合物中更为合适的聚合物,其部分特征在于含有硅酸盐分子式SiO2或TiO2作为一种重复、不同共聚物重复单元的共聚物。对于这样的Si聚合物,优选的聚合物具有式SiO1.5<X<2的SiO并且含有光酸不稳定基团,如光酸不稳定的酯基或缩醛基作为一个或更多重复单元中的组份,或其它对比度增强基团例如氟化醇作为一个或更多重复单元中的组份。
本发明优选的Si聚合物可以含有下式(I)所示结构的重复单元:
Figure A20041005522300061
其中R是氢或优选非氢取代基,如任选取代的烷基,特别是C1-20烷基;任选取代的脂环基,特别是C3-20脂环基如金刚烷基、降冰片基、环己基、异冰片基、葑基等;任选取代的芳基,例如任选取代的碳环芳基如任选取代的苯基、萘基等;或任选取代的杂环芳基;并且m和n分别大于0。R基团中可以含有促进光致成像的基团,如光酸不稳定基团如酯基或缩醛基或对比度增强基团例如氟化醇。以聚合物单元的总量计,m的数值优选20-90%。此外,优选聚合物中含有至少一些具有一定碳含量(提供有机聚合物)的重复单元,例如任选取代的烷基、任选取代的脂环基、任选取代的碳环芳基等。
本发明特别优选的聚合物含有两个或更多不同的重复单元的聚合物(如三元共聚物、四元共聚物),其也可以包括另外的重复单元,用以对光刻胶的性质进一步调整。例如,优选的聚合物可以含有下式(II)所示结构的重复单元:
其中,R1和R2不同,并可以是氢或非氢取代基,并优选R1和R2都是如上述式(I)中R的非氢取代基;并且x、y和z分别大于0。优选至少R或R1中的一个含有促进光致成像的基团,如光酸不稳定基团或对比度增强基团例如氟化醇。此外,优选聚合物中含有至少一些具有一定碳含量(提供有机聚合物)的重复单元,例如任选取代的烷基、任选取代的脂环基、任选取代的碳环芳基等。
本发明还包括与上述式(I)和式(II)相关的聚合物,但是其中Si原子被非碳四价元素(原子)替换,特别是Ti、Zr、Ge或Sn,更特别是Ti、Zr或Sn,尤其是Ti。当前描述通常涉及到本发明具有Si含量的聚合物,本发明包括具有Ti、Zr、Ge或Sn,尤其是Ti代替Si的聚合物,对于Si聚合物的说明同样适用于Ti、Zr、Ge和Sn聚合物。本发明也包括含有一种或更多当前Si-聚合物和本发明Ti、Zr、Ge和/或Sn聚合物共混物的组合物(如抗蚀剂组合物)。
另一方面,本发明优选的聚合物的特征在于,通过一种或多种含有具有至少两个用于水解反应或缩合反应的活性基团(如离去基团)的非碳四价元素(原子)的反应可以得到的,更优选三个或四个所述活性基团。虽然其它元素例如Ti、Zr、Ge、Sn等也可以使用,优选四价元素是Si。优选的活性基团(如离去基团)包括卤素(尤其Cl、Br和I)、烷氧基、芳氧基,或能够转化成合适的离去基团的基团,例如羟基。活性基团的混合物也是适用的。例如,同时含有卤素和烷氧基的单体可以有利地使用。
在本发明的这些方式中,本发明优选的聚合物可以通过一种或多种下式(III)所示化合物的反应得到:
                     M(Y)4              (III)
其中,每个M分别是Si、Ti、Zr、Ge或Sn,并且优选Si、Ti、Zr或Sn,更优选M是Si或Ti;
每个Y相同或不同,并且至少两个Y基团是对于水解反应或缩合反应的活性基团(如离去基团),优选三个或四个Y基团是这样的活性基团。
本发明的聚合物可以含有不同非碳四价原子Si、Ti、Zr、Ge和/或Sn的混合物,例如同时含有Si和Ti原子的聚合物。然而,对于许多应用,可以优选含有单一类型Si、Ti、Zr、Ge或Sn的非碳四价原子的聚合物,亦即选自Si、Ti、Zr、Ge或Sn之一,聚合物仅含Si原子,或聚合物仅含Ti原子。
尤其优选的用于合成本发明聚合物的活性化合物对应于下式(IV)所示:
                       Si(Y)4            (IV)
其中每个Y相同或不同,代表卤素、C1-8烷氧基、羟基、卤素或连接到Si原子的键(化学键),至少两个Y基团是卤素或烷氧基,优选C1-4烷氧基或C1 -3烷氧基如甲氧基或乙氧基。
本发明优选的聚合物具有高Si含量,如至少是聚合物总重量的约10、12、15、16、17、18、19或20原子重量%是Si。本发明优选的聚合物也可含有相对高比例的SiO2重复单元,如其中至少聚合物所有聚合单元的约20%是SiO2,或聚合物聚合单元总数的至少约30、40、50、60、70、80或甚至90%是SiO2
优选的聚合物也可以被氟化,如包括具有含有一个或更多氟原子的碳原子作为聚合物侧基部分。例如,优选的聚合物取代基包括-CF3和其它C1-20卤代烷基,特别是C1-20氟代烷基如-CHF2、-CH2F、-CH2CF3、-CF2CF3等,以及卤代烷氧基,尤其是氟代烷氧基例如C1-20氟代烷氧基,如-OCF3、-OCH2CF3等。这些氟取代基可以直接连接到聚合物的Si原子上,或作为其它聚合物基团例如脂环单元的取代基。硅原子的直接氟取代也是合适的,如Si-F、Si-F2等的基团。
对于许多应用来说,本发明优选含有具有醇部分重复单元的聚合物。
对于短波长成像,尤其是亚-200nm成像,优选聚合物具有低的芳族含量,以提供增强的透明度。例如,短波长成像优选的聚合物基本上没有芳基,如以聚合单元总数计,少于约5、4、3、2、1或0.5摩尔%的芳基。尤其优选的是完全不含芳基的聚合物。
用于193nm成像的抗蚀剂的优选聚合物侧基单元包括能够调整耐等离子蚀刻性的脂环基。尽管具有1-4个单独或稠和的脂环环和5-约20个环原子的其它脂环部分也是合适的,任选取代的降冰片基、金刚烷基、葑基和异冰片基是优选的脂环基。这样优选的脂环基含有光酸不稳定或其它对比度增强部分,如光酸不稳定的酯基或缩醛基或氟化醇。
本发明的聚合物也可适宜含有酚基或其它芳基,尤其是聚合物用于高波长成像的抗蚀剂中时,尤其是波长大于200nm例如248nm。具有酚基或其它芳香取代基的聚合物也可用于以电子束或EUV辐射源成像的光刻胶中。这样含有芳基的聚合物可以通过例如三氯甲硅烷基、三烷氧基甲硅烷基等取代的苯基聚合得到。
本发明的聚合物可合适具有各种分子量多分散性值(亦即Mw/Mn)。例如,合适的聚合物包括Mw/Mn值为约20或更低,更典型的是约10或更低,或约5或4或更低的聚合物。在许多应用中,优选窄分子量多分散性值,如Mw/Mn值为约3或2或更低,或甚至低于例如约1.7、1.6、1.5、1.4、1.3或1.2或更低。
另一方面,本发明的聚合物可以以离散颗粒的形式聚合,即以独立的和不同的聚合颗粒聚合。这样的聚合颗粒典型的具有一个或多个不同于线形或梯状硅聚合物的特征。例如,这样的聚合物颗粒典型的具有确定大小和低分子量分布。
更具体而言,在一优选方式,大多数本发明的聚合物颗粒典型的具有约5-3000埃的平均粒度,仍更优选约10-2000埃,更优选约10-约1000埃,还更优选约10-约500埃,甚至更优选约10-50或100埃。
本发明大多数优选的聚合物颗粒典型的具有窄的分子量多分散性值(Mw/Mn),例如约1.3、1.2或1.1或更少,甚至接近于约1,以凝胶渗透色谱法和/或质谱分析法确定。参见例如表2所示的结果。
如上所述,对于短波长辐射,尤其是亚-200nm辐射,特别是193nm和157nm,本发明的聚合物和光刻胶能够显示出显著的透明度。
在另一方面,提供了对于157nm辐射高度透明的光刻胶和聚合物。尤其,本发明提供了适于在157nm成像的光刻胶,其含有光活性组份和聚合物组分,其中光刻胶组合物对于157nm辐射具有低吸收率,例如吸收率是1.5或更低(亦即Abs157/μm是1.5或更低)。提供了甚至更低吸收率的光刻胶,包括含有光活性组份和聚合物组分的适于在157nm成像的光刻胶,其中光刻胶组合物具有1.4、1.3或1.2或更低的Abs157/μm,或1.1或1或更低的Abs157/μm,或甚至0.9、0.8或0.7或更低的Abs157/μm,或0.6或0.5或更低的Abs157/μm。
这样的光刻胶中,光活性和聚合物组分可以是单一组成材料(如具有共价键相联的光酸生成剂的树脂),但更为典型的这些组份是不同(非共价键相连)材料,如含有一种或多种光酸生成剂化合物和一种或多种不同聚合物的抗蚀剂。本发明聚合物优选用于本发明的低吸收率的光刻胶中,特别是本发明的Si聚合物,尤其是具有式SiO1.5<X<2的SiO。甚至更优选这些聚合物被氟化。
除了这种抗蚀剂,提供了光可成像聚合物,其在157nm辐射显示低吸收率。在此方面,优选的光可成像聚合物将具有1.2或1.1或更小的Abs157/μm,或1或更低的Abs157/μm,或甚至0.9、0.8、0.7、0.6、0.5或更小的Abs157/μm。优选的是Si共聚物,尤其是本发明具有式SiO1.5<X<2的SiO的Si聚合物。特别优选氟化的聚合物。
此处所述吸收率(包括Abs157/μm值)以如下方式确定。光刻胶或聚合物薄膜旋涂到微电子硅晶片基材上,然后用真空电炉温和烘烤(110℃下60秒)除去溶剂。在指定辐射线波长(如157nm),用VU-301真空紫外线可变角分光镜偏振光椭圆计(VUV-VESA)测试仪(合适的购自J.A.Woollam Co.)测定干燥涂层的吸收率。测得的吸收率归一化到1μm光刻胶或聚合物膜涂层厚度。
除了本发明的树脂,本发明典型的光刻胶组合物也含有光活性组份,如一种或多种光酸生成剂化合物。此处所述本发明的聚合物或树脂包括颗粒形式的聚合物。
光刻胶还包括一种机理以在抗蚀剂涂层的曝光区域和未曝光区域之间形成足够的溶解度差异,使得通过显影能够形成浮雕像。
化学放大正性作用光刻胶合适地含有优选具有一种或多种光酸不稳定解封基团的组份,亦即该基团在光致成酸物质的存在下反应形成极性基团,例如羰基、羟基等。优选的光酸不稳定基团包括光酸不稳定的酯基或缩醛基。这种合适的光酸不稳定基团将是含硅树脂的代替物,然而抗蚀剂也可以含有单独的组分,如含有这种光酸不稳定基团的单独的低聚物或聚合物。
短波长成像的正性光刻胶可以合适的含有氟化醇基,如具有邻近(从羟基取代碳原子开始,在1、2或3个碳原子以内)氟取代基的醇。
本发明负性作用抗蚀剂典型的含有用于交联一种或多种抗蚀剂组分的试剂,典型的是单独的交联剂组分如基于胺的试剂,如三聚氰胺或苯胍胺树脂。用于负性作用光刻胶的树脂优选含有伯或仲醇部分。
本发明光刻胶优选用于多层平板印刷体系。更具体而言,本发明抗蚀剂优选应用包括在基材,如半导体微电子晶片基材上,施涂第一有机聚合物涂层,然后在其上涂覆本发明的光刻胶。合适的有机底层可以是非光致成像的(如不含有光酸生成剂化合物),但是在涂覆抗蚀剂层之前进行热交联。底层可以含有酚聚合物,例如混合了热酸生成化合物和交联剂的酚醛清漆。这种底层的使用使得能够施涂非常薄的顶层抗蚀剂层成为可能。
本发明也提供了形成浮雕像的方法,包括形成高分辨浮雕像的方法,例如线的图形,其中每条线具有基本上垂直的侧壁,且线宽约0.40微米或更低,甚至宽度约0.2或0.10微米或更低,如宽度为80nm或更少的线。本发明进一步提供包含基材的制品,例如微电子晶片基材(如具有直径小于20或10英寸的晶片)、光电子设备基材、波导管或液晶显示器基材或其它平板显示器基材,其上涂覆有本发明的聚合物、光刻胶或抗蚀剂浮雕像。本发明也包括生产这些制品的方法,其包括使用本发明的光刻胶。
                            附图说明
图1表示随后实施例14中制备的抗蚀剂浮雕像的扫描电子显微镜照片。
图2表示随后实施例19中确定的聚合物颗粒的凝胶渗透色谱(顶板)和质谱(底板)。
                           发明内容
如上所述,我们现在提供了高SiO含量的硅聚合物。
在特定方式中,提供了含有重复单元SiO2和/或TiO2的光可成像共聚物。
另一方面,提供含有重复单元光可成像共聚物,单元中存在式SiO1.5<X<2的SiO(如至少一部分,如聚合物重复单元总数的至少10、20、30、40、50、60、70、80或90%有这样的SiO式)和/或整个光可成像共聚物中含有式SiO1.5<X<2的SiO。
此处提及的聚合物(包括共聚物)是光可成像的,表示聚合物含有一个或多个光酸不稳定部分如光酸不稳定的酯基(如叔丁酯)或缩醛基,或其它对比度增强基团例如氟化醇。换句话说,例如,作为光刻胶组合物的组分,光可成像聚合物能够与光致酸(如由光酸生成剂化合物产生)反应(如裂解反应)或其它操作,在光刻胶涂层的曝光区域和未曝光区域之间形成显影剂溶解度差异。
本发明优选的聚合物包含下式(I)和(II)所示的重复单元:
式中R、R1和R2是氢或如前所述的非氢取代基,并且m、n、x、y和z分别大于0。合适地,R、R1和R2都不是氢。优选的R、R1和R2基团包括如下结构:
这些结构中,结构左边的打开(open bond)键(-)是链接到聚合物上的化学键,R″是化学键或连接基,如杂原子例如S或O或任选取代的亚烷基例如C1-8亚烷基;BG是保护基团例如光酸不稳定基团如酯(如叔丁基酯)或缩醛基,其可以通过羟基与乙基乙烯基醚基的反应制备,或BG可以是对平版印刷过程通常惰性的基团例如C1-8烷基、烷基磺酸根如甲磺酸根等;X可以是氢或如上面对BG定义的保护基;R3是提供光酸不稳定部分的基团,如其中R3提供键接到酯氧的四价碳,例如叔丁基部分。
在上式I中,合适的m和n的数值可以在相当大范围内变化。例如,考虑到聚合物单元是SiO2重复单元或其它重复单元,合适的m(亦即以聚合单元的总数计,重复单元[Si(R)O3/2]在聚合物中的数目百分比)是聚合物重复单元总数的2-90或95%,更优选5-30、40、50、60、70或80%。这样,随后,考虑到聚合物单元是Si(R)O3/2重复单元或其它单元,式I中合适的n(亦即以聚合单元的总数计,重复单元[SiO2]在聚合物中的数目百分比)是聚合物重复单元总数的2-90或95%,更优选10-5、20、30、40、50、60、70、80或85%。
同样的,在上式II中,合适的x、y和z的数值可以在相当大范围内变化。例如,合适的x和y(亦即以聚合物单元的总数计,重复单元[Si(R1)O3/2]和[Si(R2)O3/2]在聚合物中的数目百分比)总和与上述m的数值相同,合适的z的数值与上述n相同。x和y各自值可以在相当大范围内变化,如基于聚合物单元的总数,x和y适宜是2-80%,基于聚合物单元的总数,x和y更典型的可以是5-10、15、20、30、40、50、60或70%。
本发明的聚合物易于合成。优选的合成包括在酸性或碱性条件下的缩合或水解反应。如果任一反应物是酸敏感的,例如光酸不稳定部分,则优选聚合反应在碱性条件下进行。
通常,一种或多种活性Si反应物,如上式III或IV在水和碱的存在下与一种或多种其它反应剂,优选在合适有机溶剂中足够时间内反应形成预期聚合物。为促进聚合反应需要,反应可以在升高温度,如在50℃、70℃、80℃或90℃或更高的温度下进行。优选使用一种或多种有机溶剂,如醚、酮或芳族溶剂,例如丙酮、丙二醇单甲醚乙酸酯、甘醇二甲醚、甲苯、二甲苯等。一般水的量与Si反应物(如上述式III或式IV反应物)上的离去基团的摩尔量相同或过量。碱可以是有机或无机试剂。使用有机碱例如三乙基胺、二环己基胺等已经得到好的结果。碱合适的以Si试剂摩尔量相同或过量的量存在。参见以下例举反应条件的实施例。
通常,使用摩尔过量的水和碱以及在升高反应温度的条件以促进或增强聚合。
当酸敏感的基团(如光酸不稳定部分)存在时,优选使用碱,或者是使用基于酸的缩合反应以形成聚合物,然后用光酸不稳定基团或其它酸敏感基团根据需要使形成的聚合物官能化。例如参见随后的实施例22和23中的步骤。
如上所述,许多其它单体或低聚物可以与上式III或IV等的Si试剂一起作为反应物。优选的反应物具有活性硅基,例如任一或若干氢化甲硅烷基化或卤代甲硅烷基化单体。参见,例如,随后实施例所用的反应物。
本发明优选的聚合物包括由甲硅烷基卤化物或甲硅烷基醇盐,包括三卤代和三烷氧基化合物例如式R-Si(OC1-3烷氧基)3如R-Si(OCH2CH3)3或式RSi(卤化物)3如R-Si(Cl)3,以及烷氧基-卤代硅烷混合物,如RSi(Cl)2(OR)和RSi(OR)2Cl聚合形成的聚合物。这些化合物中R部分合适的可以是芳基(如苯基或萘基)或更优选非芳基,例如任选取代的烷基或脂环基,如降冰片基、金刚烷基等。这些R基可以被光酸不稳定基团如光酸不稳定的酯基,如叔丁基酯或缩醛基,或缩醛基取代,或被含水碱增溶基如氟化醇、磺酰胺、硫醇等取代。这些基团也可以进一步被吸电子基,例如氟、氰基等取代。
优选的具有这样三卤代或三烷氧基或混合三(卤代/烷氧基)反应物的共反应物是四官能(4个离去基团)反应物,例如四烷氧基硅烷,其能够在形成的聚合物中反应以提供SiO2单元,而这些三卤代或三烷氧基或混合三(卤代/烷氧基)反应物提供Si(R)O3/2聚合物单元。
特别合适的Si反应物包括四乙氧基硅烷、四丙氧基硅烷、三乙氧基氯代硅烷(SiCl(Et)3)、以及六氯代二甲硅烷;Sn反应物例如四丙氧基锡;以及相关的Ti和Zr反应物例如氯化钛(IV)、丁醇钛(IV)、乙醇钛(IV)、乙醇锆(IV)、丙醇锆(IV)等,可以容易地制备,也可以购自厂商,例如Gelest(Tullytown,PA)。
我们也已发现,与空间位阻大的三烷氧基有机硅烷例如六氟异丙醇降冰片基(HFIPNB)-三乙氧基硅烷相比,四官能金属有机反应物例如四烷氧基硅烷的水解和缩合反应以显著加快的速率进行。这种反应差异能够导致生成含有不同分子成分的大分子量分布的聚合物。反应混合物的凝胶作用也可以发生在与大空间位阻反应物例如大三烷氧基有机硅烷等的反应中。
我们进一步发现,如果三乙氧基硅烷共反应物R基是相对小的烷基,例如1-12个碳原子(在α碳上没有支链)并且没有空间阻碍或降低水解或缩合反应,这些大分子量分布和凝胶作用能够避免。这样的R基团的例子包括氟代醇例如1,1,1-三氟-2-三氟甲基-戊-4-烯-2-醇(BTHB)-三乙氧基硅烷、1,1,1-三氟-2-三氟甲基-戊-4-烯-2-醇-乙氧基甲醚-三乙氧基硅烷、(1,1-双-三氟甲基-丁-3-烯氧基)-乙酸叔丁基酯(BTHBTBAC)-三乙氧基硅烷、3-三氟甲基-3-羟基-1-丙烯三乙氧基硅烷和非氟代醇如2,2,2-三氟乙基-三乙氧基硅烷或2,2,2-三氟乙基***的三乙氧基硅烷。此处使用某些取代基的缩写包括如下:TFAMNBB表示2-三氟甲基-双环[2.2.1]庚-5-烯-2-羧酸叔丁基酯;BTHB表示1,1,1-三氟-2-三氟甲基-戊-4-烯-2-醇;BTHBTBAC表示(1,1-双-三氟甲基-丁-3-烯氧基)-乙酸叔丁基酯;以及EOM表示乙氧基甲醚。
我们进一步发现具有小的R基的反应物有利于产生本发明的离散聚合物颗粒。也就是说,含有小的R基团的三烷氧基硅烷能够与四烷氧基硅烷缩合形成致密的、高交联的、可溶解的、成膜聚合物结构(颗粒),其具有适当的取代基(如光酸不稳定的酯基或缩醛基)可以光致成像作为光刻胶组合物。这些颗粒的形成可以被酸或碱催化,优选在升高温度下以强碱为催化剂。参见下面用于示范合成本发明聚合物颗粒的实施例18和20,其也是光可成像的。
此处一般优选光可成像聚合物含有一个或多个重复单元,所述重复单元包括光酸不稳定基团,如光酸不稳定的酯基或缩醛基,或其它对比度增强基团例如氟化醇,或聚合物中含有能够与交联剂组份(例如,基于胺的交联剂如三聚氰胺)交联的位点。
在本发明的共聚物(其中包括含有至少两个不同重复单元和高度有规聚合物如三元聚合物和四元聚合物的聚合物)中,各种不同重复单元可以适当地以各种相对比例存在。在聚合物中高Si含量单元优选的数量在上面已经讨论过。聚合物中含有的光酸不稳定基团的重复单元的量,基于聚合物的所有重复单元,合适的是约1、2或3-约10、15、20、30、40、50、60%或更多,基于聚合物的所有重复单元,更优选约5、10或20-约30、40或50摩尔%。聚合物中不含有光酸不稳定基团并且Si含量不高的重复单元,如含有脂环基或苯基调整耐蚀刻性的单元的量,基于聚合物的所有重复单元,合适的是约1、2或3-约50或60摩尔%,基于聚合物的所有重复单元,更优选约5、10或20-约30、40或50摩尔%。
用于负性作用抗蚀剂组合物的优选Si聚合物合适地含有伯和仲羟基部分,合适的伯或仲醇基团可以作为羟基C1-20烷基存在。这种伯和仲羟基可以成为交联的有效位点或部分。
关于上面式I和II,以及此处讨论的其它聚合物基团,术语“芳基”没有进一步限制,包括碳环芳基和杂芳基。
术语“碳环芳基”包括含有1-3个独立的或稠合的环和6-约18个环原子的基团,没有杂原子作为环原子。特别优选的碳环芳基包括苯基、萘基包括1-萘基和2-萘基和苊基、菲基等。
术语“杂芳基”此处指的是杂原子芳基,其中一般含有1-3个独立或稠合的环,具有一个或多个,一般1-3个N、O或S环原子以及总共5-20个环原子。典型的杂芳基包括如喹啉基、吡啶基、吡嗪基、吲哚基、咔唑酰基、呋喃基、吡咯基、噻吩基、噻唑基、吡唑基、噁唑基、咪唑基、吲哚基、苯并呋喃基、苯并噻唑基、吡啶酮基等。
术语“烷基”指的是包括支链和直链饱和脂肪烃基,具有特定数目的碳原子数。烷基的例子包括甲基、乙基、正丙基、异丙基、正丁基、仲-丁基、叔丁基、正戊基和仲-戊基。优选的烷基是C1-C12烷基。烷基含有环结构和多个碳碳键,杂件是该基团不是芳基(亦即术语烷基包括脂环基、链烯基和炔基)。
此处术语“碳脂环基”指的是非芳香基的每个环原子是碳。如果环不是芳香烃,碳脂环基可以含有一个或多个桥环碳碳双键。典型的碳脂环基包括环己基、降冰片基、金刚烷基、葑基等。典型的碳脂环基可以含有3-18或更多环原子和1-3或4个稠合或其它键连的环。
“卤代烷基”指的是包括用1个或多个卤原子取代的支链和直链烷基(如上所述)。优选的卤代烷基的例子包括,但不限于:单、二或三氟甲基、单、二或三氯甲基、单、二、三、四或五氟乙基和单、二、三、四或五氯乙基。卤代烷基典型地含有1-约12个碳原子,更典型地含有1-约6个碳原子。
“烷氧基”表示包括氧桥在内的前面所定义的烷基。烷氧基的例子包括但不限于:甲氧基、乙氧基、正丙氧基、异丙氧基、正丁氧基、2-丁氧基、叔丁氧基、正戊氧基、2-戊氧基、3-戊氧基、异戊氧基、新戊氧基、正己氧基、2-己氧基、3-己氧基和3-甲基戊氧基。烷氧基典型地含有1-约12个碳原子,更典型的含有1-约6个碳原子。
“卤代烷氧基”包括具有其它氧桥的上述定义的卤代烷基。优选的卤代烷氧基的例子包括三氟甲氧基、2-氟乙氧基和二氟甲氧基。
术语“芳氧基”指的是一种芳基,其具有芳族取代基的烷氧基,或具有氧环取代基且氧连接到另外的基团上的芳基,例如苯氧基是优选的芳氧基。碳环芳氧基优选用于某些应用中。
这里使用的术语“烷基磺酰基”或“烷基磺酸根”包括分别具有一个或多个磺酰基(SO2)或磺酸根(SO3)并且典型的含有1-约12个碳原子,更典型的含有1-约6个碳原子的基团。
如上所述,不同的聚合物部分可以任选取代,包括式I和II的基团。“取代的”取代基可以在一个或多个可取代的位置上取代,典型的1、2或3个位置,被一个或多个合适的基团取代例如卤素(特别是F、Cl或Br)、氰基、C1-8烷基、C1-8烷氧基、C1-8烷基硫代、C1-8烷基磺酰基、C2-8烯基、C2-8炔基、羟基、烷酰基例如C1-6烷酰基如乙酰基等等。对于用于157nm成像抗蚀剂中的聚合物,优选被氟和其它负电性基团取代,当被羰基取代时,由于该官能团在157nm辐射处过高的吸收率,是所不希望的。
如上所述,提供了含有重复单元SiO2的光可成像共聚物。这些聚合物可以具有式SiO1.5<X<2的SiO。另外,作为共聚物,这些聚合物具有两个或更多不同重复单元,其中一个重复单元是SiO2。典型的光酸不稳定基团或其它对比度增强基团位于一个或多个非SiO2重复单元上。
本发明优选的光可成像聚合物与硅酸盐(SiO2)聚合物不同,包括SiO2均聚物和表面处理的SiO2聚合物。
例如,本发明优选的光可成像SiO2共聚物不需要表面处理,以提供非SiO2组份或光可成像官能团(例如光酸可***的酯基或缩醛基或氟化醇)。另外,本发明优选的SiO2共聚物不含有仅由SiO2重复单元组成的扩展聚合区域(extended polymer region),如本发明优选的共聚物典型地不含有在连续链区具有200、150、100、80、50、40或甚至20个SiO2单元的区域。相反地,本发明优选的聚合物中,SiO2单元与其它聚合单元一起分散在聚合物中,例如式RSiO3/2的重复单元,其中R基团具有如上面式I中定义,包括其中R是含碳基团例如烷基、碳脂环基或碳环芳基。
如上所述,此处提及的聚合物(包括共聚物)是光可成像的,表示聚合物具有一个或多个光酸不稳定部分例如光酸不稳定的酯(如叔丁基酯)或缩醛基,或其它对比度增强基团如氟化醇(如2-六氟丙醇)。
如上所述,本发明的光可成像组合物优选用于多层体系,亦即其中光可成像组合物涂敷到另外的有机(聚合物组合物)层上,有机层本身被涂敷到基材的表面,例如微电子晶片或器件基材或光波导基材。
合适的下层组合物包括含有酚聚合物例如线性酚醛清漆和/或聚乙烯基苯酚。还优选当下层聚合物组合物是交联组合物时,如含有酸或酸生成化合物例如热致酸化合物和优选单独的交联组份如基于胺的材料,如三聚氰胺或苯胍胺树脂。对于这些交联组合物,在涂敷顶涂敷的光可成像组合物之前可以交联使用的下层,如通过合适的热处理,如在140℃、150℃、160℃、180℃、200℃或230℃加热足够交联的时间,例如0.5、1或2分钟来进行交联。在许多方式中,下层聚合物组合物合适的是非光可成像的。优选下层的抗反射特性(吸收率)与顶部抗蚀剂层相配合,这样从基材反射的辐射可以以一定数量回到抗蚀剂层,足以增强平版印刷过程。
合适的,一种以上含硅聚合物可用于本发明的光可成像组合物。因此本发明的光可成像组合物包括含有一种、两种或多种含硅聚合物。当使用两种或多种含硅聚合物时,至少一种是本发明的含硅聚合物。其余的含硅聚合物可以是通常的含硅聚合物或本发明的聚合物。这样,混合聚合物可以有益的用于本发明的光可成像组合物中。这样的共混物包括本发明的含硅聚合物和不含硅聚合物的共混物。这些共混物中,较大范围比例的共混聚合物可以适用。具体比率取决于特殊的混合聚合物和预期的特性(溶解速率、耐蚀刻性、感光度)。
宽范围的光活性组份可以用于本发明,包括但不限于光酸生成剂和光碱生成剂。优选光酸生成剂。不止一种光活性组份可以有利地用于本发明的光可成像组合物,对于本领域技术人员而言是理解的。
本发明有用的光酸生成剂是任何暴露于活化辐射时能够释放出酸的化合物,如亚-300nm辐射如248nm,或亚-200nm辐射如193nm或157nm。合适的光酸生成剂包括如亚氨基磺酸盐、鎓盐、卤代三嗪、磺化酯、卤化磺酰氧二甲酰亚胺。
在157nm、193nm和248nm成像优选的PAG包括如下面式子化合物的亚氨基磺酸盐:
其中R是樟脑、金刚烷、烷基(如C1-12烷基)和全氟烷基如全氟(C1-12烷基),特别是全氟辛磺酸根、全氟壬磺酸根等。特别优选的PAG是N-[(全氟辛烷磺酰)氧基]-5-降冰片烯-2,3-二甲酰亚胺。
锍和碘鎓盐也是合适的PAGs,尤其是磺酸盐。两个用于193nm和248nm成像的试剂为如下PAG1和2所示:
Figure A20041005522300201
这些磺酸盐化合物可以通过欧洲专利申请96118111.2(公开号0783136)中公开的方法制备,其中详述了上面PAG1的合成。
上述两种碘鎓化合物与不同于上述樟脑磺酸根基团的阴离子络合也是合适的。特别的,优选的阴离子包括式RSO3-,其中R是金刚烷、烷基(如C1-12烷基)和全氟烷基如全氟(C1-12烷基),特别是全氟辛烷磺酸根、全氟丁烷磺酸根等。
对于在157nm成像,特别优选三苯基锍PAG与上述诸如磺酸盐的阴离子等的(尤其是全氟链烷烃磺酸盐如全氟丁磺酸根的)阴离子的络合物。
特别有用的卤代三嗪包括卤代甲基-s-三嗪。合适的卤化三嗪包括例如:2-(1-(3,4-苯并间二氧杂环戊烯基(dioxolyl)))-4,6-双(三氯甲基)-1,2,5-三嗪、2-(1-(2,3-苯并间二氧杂环戊烯基))-4,6-双(三氯甲基)-1,3,5-三嗪、2-(1-(3,4-苯并间二氧杂环戊烯基))-4,6-双(三溴甲基)-1,3,5-三嗪、2-(1-(2,3-苯并间二氧杂环戊烯基))-4,6-双(三溴甲基)-1,3,5-三嗪和2-(2-糠基亚乙基)-4,6-双(三氯甲基)-1,3,5-三嗪。其它可用于本发明的三嗪型光酸生成剂公开在美国专利5366846中,引用于此作为参考。
卤代磺酰氧基二甲酰亚胺也可以用作光酸产生剂例如:
1-(((三氟甲基)磺酰)氧基)-1H-吡咯-2,5-二酮、N-((全氟辛磺酰)氧基)-5-降冰片烯-2,3-二甲酰亚胺、N-((三氟甲基磺酰)氧基)-5-降冰片烯-2,3-二甲酰亚胺、1-(((三氟甲基)磺酰)氧基)-2,5-吡咯烷二酮、3a,4,7,7a-四氢-2-(((三氟甲基)磺酰)氧基)-4,7-亚甲基-1H-异吲哚-1,3(2H)-二酮、2-(((三氟甲基)磺酰)氧基)-1H-苯[f]异吲哚-1,3(2H)-二酮、3,4-二甲基-1-(((三氟甲基)磺酰)氧基)-1H-吡咯-2,5-二酮、2-(((三氟甲基)磺酰)氧基)-1H-异吲哚-1,3(2H)-二酮和2-(((三氟甲基)磺酰)氧基)-1氢-苯[de]异喹啉-1,3(2H)-二酮。
在本发明的正性作用体系中,光活性组份典型的以足以在活化辐射的曝光下在抗蚀剂涂层中产生潜像的量加入到光可成像组合物中。以树脂的总重计,当光活性组份是光酸生成剂时,加入的量典型的为0.1-15重量%,优选1-8重量%。
在本发明的负性作用体系中,光活性组份的用量是足以催化交联含硅聚合物或低聚物的任何量。以组合物干组分(亦即除了溶剂载体的所有组分)的总重计,光活性组份典型的用量为0.1-25重量%。优选光活性组份的用量为0.1-15重量%,更优选0.1-12重量%,仍更优选小于或等于5重量%。以组合物干组分的总重计,尤其合适的是0.1-5重量%。
除Si树脂之外,负性作用抗蚀剂优选包括一种或多种交联剂。任何能够与含硅聚合物或低聚物反应的芳族或脂族交联剂均适用于本发明。这样的有机交联剂能够固化,与含硅聚合物或低聚物形成聚合网,并且降低了在选定溶剂中的溶解度。这样的有机交联剂可以是单体或聚合物。本领域技术人员将理解交联剂的组合可以成功的用于本发明。
用于本发明合适的有机交联剂包括但不限于:含胺化合物、含环氧的材料、含有至少两个乙烯基醚基的化合物、烯丙基取代的芳族化合物及其组合。优选的交联剂包括含胺化合物和含环氧的材料。
用于本发明的作为交联剂的含胺化合物包括但不限于:三聚氰胺单体、三聚氰胺聚合物、羟烷基甲基三聚氰胺、苯胍胺树脂、苯胍胺-甲醛树脂、脲甲醛树脂、甘脲-甲醛树脂及其组合。这些树脂可以通过丙烯酰胺或甲基丙烯酰胺共聚物与甲醛在含醇溶液中反应制得,或通过N-烷氧基甲基丙烯酰胺或甲基丙烯酰胺与其它合适的单体共聚制得。尤其合适的基于胺的交联剂包括NewJersey,West Paterson的Cytec生产的三聚氰胺,如CYMELTM300、301、303、350、370、380、1116和1130;苯胍胺树脂,如CYMELTM1123和1125;甘脲树脂,CYMELTM1170、1171和1172;以及基于脲的树脂,BEETLETM60、65和80,也可以购自New Jersey,West Paterson的Cytec。许多类似的基于胺的化合物可以购自不同供应商。
三聚氰胺是优选的基于胺的交联剂。尤其优选羟烷基甲基三聚氰胺树脂。典型的这些树脂是醚,例如三羟烷基甲基三聚氰胺和六羟烷基甲基三聚氰胺。烷基可以含有1-8或更多碳原子但优选甲基。根据反应条件和甲醛的浓度,甲醚可以相互反应形成更复杂的单元。
尤其合适的基于胺的交联剂包括下式所示:
其中R11和R12独立地选自H、(C1-C6)烷基和苯基。对于R11和R12优选的烷基是甲基和丙基。
可以用于本发明负性抗蚀剂的交联剂的含有环氧的材料,可以合适地是任何具有一个或多个通过开环聚合的环氧乙烷环的有机化合物。这些材料,概括地称为环氧化物,包括但不限于:单体环氧化物和聚合环氧化物,其可以是脂族的,环脂族的,芳族或杂环的。优选的环氧交联材料通常,平均每个分子中含有至少两个可聚合的环氧基团。聚合环氧化物包括具有末端环氧基团的线性聚合物(如聚氧亚烷基二醇的二环氧甘油醚)、具有环氧乙烷单元框架的聚合物(如聚丁二烯聚环氧化物)和具有环氧基团侧基的聚合物(如甲基丙烯酸缩水甘油酯聚合物的共聚物)。环氧化物可以是纯化合物,但通常是在每个分子中含有一个、两个或多个环氧基的混合物。另外有用的作为交联剂的含环氧的材料包括缩水甘油醚。例子是通过多元酚与过量的氯代醇,例如表氯醇反应得到的多羟基酚缩水甘油醚(例如2,2双-(2,3-环氧丙氧基酚)丙烷的二环氧甘油醚)。这样的缩水甘油醚包括双酚A环氧化物,例如双酚A乙氧基化双环氧化合物。这种环氧化物其它的例子描述在美国专利3018262中。
本发明的光可成像组合物还可以任选包括一种或多种添加组分,包括但不限于溶剂、抗条纹剂、增塑剂、表面活性剂、碱性添加剂、增速剂、填料、染料等。在正性作用体系中,碱性添加剂典型的用于调整组合物的感光度。此类任选的添加剂以相对较低的浓度存在于光刻胶组合物中,但填料和染料除外,它们以较大浓度存在,例如以组合物干燥组份的总重量计,为约5-30wt%。
本发明的光可成像组合物可以由本技术领域技术人员容易的制备。例如,本发明的光刻胶组合物能够通过将光刻胶组份,即聚合物粘合剂和光活性组份,溶解于合适的溶剂中制备。这种合适的溶剂包括但不限于乳酸乙酯、乙二醇单甲醚、乙二醇单甲醚乙酸酯、丙二醇单甲醚、丙二醇单甲醚乙酸酯、丙酸3-乙氧基乙基酯、2-庚酮、γ-丁内酯及其混合物。2-庚酮常常优选用于含有氟化聚合物的抗蚀剂。
基于组合物的总重量,光刻胶组合物的固相含量一般为约5-约35重量%。树脂粘合剂和光活性组份的量应足以获得膜涂层和形成良好质量的潜像和浮雕像。
这种光刻胶组合物可以用任何已知方法施涂到基材上,例如旋涂、浸涂、辊涂等。当旋涂时,涂料液的固体含量能够加以调节以获得所需的膜厚度,这是基于所使用的特定的旋涂设备、溶液的粘度、旋涂器的速度和旋涂所允许的时间长度。
如上所述,在双层光刻胶体系中,本发明的光可成像组合物尤其适用于顶层。并且如上所述,这样体系中常用光刻胶的底层,例如基于线型酚醛树脂聚合物的抗蚀剂、基于惰性聚芳基醚-砜共聚物的抗蚀剂或基于线型酚醛树脂或聚羟基苯乙烯的热交联体系。这样底层一般以上述的步骤施涂或涂覆到基材上。底层然后在诸如230℃下烘烤剧烈2分钟,然后本发明的光可成像组合物施涂在固化的底层上。底层优选含有一定量的UV吸收组分,例如蒽染料,足够的光密度和蚀刻操作性能。底层典型的具有0.4-1μm的厚度。本发明的光可成像组合物顶层典型的是0.05-1μm厚,优选0.05-0.5μm,且更优选0.05-0.3μm。
施涂到底层后,本发明的顶层光可成像组合物通过加热(烘烤)干燥来除去任何溶剂。优选干燥直到涂层不粘。其后,按常用方式经由掩模来成像。曝光以足以有效地活化光刻胶的光活性组分以便在抗蚀剂涂层中产生带图案的图像,更具体而言,该曝光能量典型的是在约1-100mJ/cm2范围内,这取决于曝光工具和光刻胶组合物的组分。
本发明的光可成像组合物可以被各种曝光波长活化,例如248、193、157nm和11-15nm。然而,本发明的光可成像组合物也可以使用其它辐射源,例如但不限于可见光、电子束、EUV、离子束和X射线。
在曝光之后,组合物的顶层膜优选在约70℃到约160℃范围内的温度下烘烤。其后,顶层膜显影形成蚀刻图案。已曝光的抗蚀剂膜通过使用极性显影剂来进行正性冲洗,优选含水基显影剂,例如氢氧化季铵溶液,如氢氧化四烷基铵,优选0.15-0.26N的氢氧化四甲基铵;各种胺溶液,如乙胺、正丙基胺、二乙基胺、三乙基胺或甲基二乙基胺;醇胺,例如二乙醇胺、三乙醇胺;环胺如吡咯、吡啶等等。本领域技术人员能理解显影步骤应用于给定的体系。
然后图案通过蚀刻转移到下层或底层,例如用氧活性离子蚀刻方法。这个过程之后,顶层和底层的抗蚀剂,可以用任何本领域已知的汽提方法从加工过的基材上除去。
本发明的光可成像组合物可用于所有一般采用光刻胶的应用中。例如,在微处理器和其它集成电路组件的生产中,组合物可以涂敷于硅晶片或涂有二氧化硅的硅晶片上。如上所述,对于许多应用而言,抗蚀剂可用于双层体系并且设置在有机下层组合物上。铝-氧化铝、砷化镓、陶瓷、石英、铜、玻璃、旋压有机电介质、旋压和化学气相沉积的无机电介质等等也适用作本发明的光刻胶组合物的基材。其它化学气相沉积层,例如盖层、蚀刻截止层等等也可以用作基材。
本发明的组合物也可以用于光电子学应用,例如用于制造光波导、光互联等等。“光波导”指的是任何在二维基材表面传输光辐射的器件。合适的光波导管包括但不限于分流片、耦合器、光谱滤波器、偏光镜、单面波导管、波分复用结构等等。这种波导也可以含有活性功能,例如放大器和转换器如电-光、热-光或声-光器件。用作放大器,本发明的波导典型的含有一种或多种掺杂剂。铒是一种典型的掺杂剂。这些掺杂剂在本领域是公知的。这样,本发明适于用作放大器的波导含有一种或多种掺杂剂。
本发明的波导可以作为单独波导或一排波导制造。这样的波导是否制备为一排波导取决于特定的用途,并且是在本领域技术人员能力范围之内的。本发明的抗蚀剂用于波导的应用和制造已经相应的由Gronbeck等公开在WO02/091083中。
在一实施方案中,为了制造集成电路,一层本发明的组合物通过旋涂或其它方式施涂于晶片基材(例如直径小于20或10英寸)。
然后施涂了的基材典型的通过诸如烘烤固化,以除去任何溶剂。根据选择的具体溶剂,这种固化可以在各种温度下进行。合适的温度是任何能够足以完全除去任何存在的溶剂的温度。典型的,固化可以在室温(亦即25℃)-170℃的任何温度下进行。这种固化典型的是进行5秒钟-30分钟。可以通过在烤箱或加热板上加热基材来进行固化。
固化之后,本发明施涂在基材上的组合物层通过合适地图片或掩模在光化辐射下曝光成像。曝光后,组合物在40℃-160℃的温度下固化。固化时间可以改变但通常是在约30秒-约1小时。在正性作用抗蚀剂中,曝光区域比未曝光区域变得更易溶解。这样,曝光区域可以除去,例如通过与适宜的溶剂、含水显影剂或溶剂-水混合物接触,在基材上仅仅留下未曝光区域。合适的含水显影剂包括碱金属氢氧化物例如氢氧化钠水溶液和氢氧化钾水溶液以及氢氧化四烷基铵水溶液。这些显影剂典型的以0.1-0.3N的浓度使用,例如0.15-0.26N氢氧化四甲基铵水溶液。显影剂的选择是本领域技术人员能力范围之内的。这种显影剂可以在各种温度例如室温-约100℃使用。这种显影的时间取决于欲除去的物质以及使用的温度,但通常是约10秒-约1小时。
所有提及的文献在此引入供参考。下列非限制性实施例用于说明本发明。
实施例1-5合成用于制备本发明聚合物的单体
实施例1:合成1,1,1-三氟-2-三氟甲基-戊-4-烯-2醇(BTHB)-三乙氧基硅烷
Figure A20041005522300251
第1步:向溶于30ml无水甲苯的10g 1,1,1-三氟-2-三氟甲基-戊-4-烯-2醇(48mmol)溶液中加入7.2g三氯硅烷(53mmol)和5滴Karstedt催化剂溶液。反应混合物加热回流,并在磁力搅拌棒搅拌下保持回流过夜。反应结束以后,溶剂和其它存在于产物混合物中的挥发性组分在减压下除去。残余物的1HNMR波谱表明三氯硅烷中间体的形成已经完成。该产物无须进一步纯化即可用于形成本发明的聚合物或三乙氧基硅烷单体。
第2步  将按照上述步骤制备的16g 1,1,1-三氟-2-三氟甲基-戊-4-烯-2醇(BTHB)-三氯硅烷(46mmol)加入到装有磁力搅拌棒的100ml烧瓶中。液体在氮气气氛下、室温和强力搅拌下,将9.6g无水乙醇(0.21mol)在一小时内小心滴加到反应烧瓶中。过夜以后,反应混合物在减压下汽提除去挥发性组分。1HNMR波谱表明反应已经进行完全。然后产物通过真空蒸馏纯化(70-72℃/6mmHg)。
实施例2:合成六氟异丙基降冰片基(HFIPNB)-三乙氧基硅烷
合成HFIPNB-三氯硅烷和HFIPNB-三乙氧基硅烷与上面实施例1所述的BTHB-三氯硅烷和BTHB-三乙氧基硅烷的合成相同。三氯硅烷中间体用于形成本发明的聚合物,或如反应式所示转化为三乙氧基硅烷单体。最终的三乙氧基硅烷单体在150℃/6mmHg下收集。
实施例3:HFIPNB-三乙氧基硅烷的其它合成
HFIPNB-三乙氧基硅烷单体也是通过HFIPNB与三乙氧基硅烷的氢化硅烷化反应直接合成。
在500ml三颈烧瓶中加入70.00g(255.40mmol)HFIPNB、220ml无水甲苯、46.10g(280.94mmol)三乙氧基硅烷和催化量的氢六氯铂(IV)酸盐水合物(H2PtCl6×H2O)。反应混合物在125℃下回流3天。通过初蒸馏除去过量的溶剂和剩余的挥发性原料,并且氢化硅烷化的产物通过在128-143℃(0.8-2.0mmHg)真空蒸馏分离。得到了无色粘性液体,其纯度通过质子NMR和气相色谱法(GC)确定。
实施例4:合成BTHB-EOM-三乙氧基硅烷
第1步:合成1,1,1-三氟-2-三氟甲基-戊-4-烯-2醇(BTHB)-乙氧基甲醚(EOM)
向装有冷凝器和滴液漏斗的500ml三颈烧瓶中加入9.69g(403.79mmol)氢化钠,其是在矿物油中的60%分散液并且用无水己烷和150ml无水THF洗涤过。70.00g(336.49mmol)的BTHB和50ml干THF的混合物通过滴液漏斗缓慢加入到反应容器中。然后整个溶液在80℃回流16小时。向反应混合物中加入38.17g(493.79mmol)氯甲基***和20ml THF,反应在回流下搅拌过夜。反应冷却到室温并加入200ml氢氧化钾水溶液以溶解盐。水相用两份200ml二氯甲烷萃取,并且合并的有机底层然后用硫酸镁干燥。过量的溶剂和剩余的原料用旋转蒸发器除去。在138-143℃简单蒸馏分离67.80g无色液体(收率78%,通过GC测得纯度为99.24%)。
第2步:合成BTHB-EOM-三乙氧基硅烷
在500ml三颈烧瓶中加入60.00g(225.50mmol)BTHB-乙氧基甲醚、220ml无水甲苯、40.70g(248.06mmol)三乙氧基硅烷和催化量的氢六氯铂(IV)酸盐水合物(H2PtCl6×H2O)。反应混合物在125℃下回流3天。通过初蒸馏除去过量的溶剂和剩余的挥发性原料,并且氢化硅烷化产物在88-99℃通过真空(0.4-1.3mmHg)蒸馏分离。得到了无色粘性液体,并用NMR和GC表征。
实施例5:合成2-三氟甲基-双环[2.2.1]庚-5-烯-2-羧酸叔丁基酯(TFAMNBB)-三乙氧基硅烷
Figure A20041005522300271
合成过程与前述的BTHB-EOM-三乙氧基硅烷的合成相同。需要延长的反应时间来完成氢化硅烷化反应(3-5天)。产物在155-162℃/9mmHg通过真空蒸馏收集。
实施例6-12:合成本发明的聚合物
实施例6:合成含有30份实施例1的单体、20份实施例5的单体和50份四乙氧基硅烷(TEOS)的聚合物。
Figure A20041005522300272
向装有水冷冷凝器和磁力搅拌棒的100ml三颈烧瓶加入2g(5.4mmol)BTHB-三乙氧基硅烷、1.534g(3.6mmol)TFAMNBB-三乙氧基硅烷、1.872g(9mmol)TEOS和10.44g(180mmol)丙酮。然后向溶液中加入1.8g(18mmol)Et3N和1.62g(90mmol)水。反应混合物用80℃油浴加热,并在搅拌下保持回流2天。回流下,反应通常2天后完成。产物混合物中存在的挥发性组分用旋转蒸发器除去。得到大约3.5g白色粉末,在25℃以四氢呋喃为溶剂,用装有四根ultrastyrogel柱的Waters244色谱的凝胶渗透色谱法测定,其重均分子量(Mw)为5513道尔顿(相对于聚苯乙烯为标准),数均分子量(Mn)为4050道尔顿。旋涂在硅晶片基材上的聚合物膜的光谱用J.A.Woollam Co制造的VU-301真空紫外线可变角分光镜偏振光椭圆计测试仪(VUV-VESA)测定。归一化到1μm后聚合物在193nm吸收率(A193)为0.017/μm,在157nm为1.49/μm。
实施例7:
通过实施例6中的过程以及使用合适的替换反应混合物,可以制得下面在157nm低吸收率的聚合物。
Figure A20041005522300281
聚合物的重均分子量为5386、数均分子量为4338,以及在157nm吸收率为1.29/μm和在193nm吸收率为0.19/μm。
实施例8:含有实施例3、4中单体和TEOS的聚合物
向100ml烧瓶中加入4.00g(9.30mmol)BTHB-EOM三乙氧基硅烷、4.07g(9.30mmol)HFIPNB-三乙氧基硅烷、3.87g(18.60mmol)四乙氧基硅烷(TEOS)、3.76g(37.20mmol)三乙基胺、21.60g丙酮和3.35g水。反应混合物用80℃油浴加热3天。冷却到室温后,在120℃下真空3小时除去溶剂和其它挥发性物质。或者,反应混合物可以沉淀在己烷中以除去低分子量杂质,然后干燥。随后固态产物溶于乙酸乙酯,并用IRN-150离子交换树脂处理以除去剩余的三乙胺。蒸发乙酸乙酯,收集白色固体产物(总产率约71%),其Mw为约3600道尔顿,157nm吸收率约1.23/μm。差示扫描量热法(DSC)测量聚合物的玻璃化转变为约188℃。由Galbraith Laboratories,Inc进行的元素分析显示,聚合物中含有约14.6%的硅、29.8%氟和31.3%碳。
实施例9
通过实施例8中的过程以及使用合适的替换反应混合物,可以制得下面的聚合物:
Figure A20041005522300291
聚合物显示重均分子量为3649道尔顿和157nm吸收率为1.12/μm,这表明含有大量SiO2组分的聚合物组合物有利于降低157nm吸收率。另外,由于聚合组合物中SiO2组分的含量增加,聚合物的玻璃化转变温度可以不再测量,因为在温度记录器上没有观察到细小的偏转。元素分析显示,约16.9%的硅、27.6%氟和29.5%碳。
实施例10
通过实施例8中通常的过程,不用离子交换步骤,一部分实施例1中的单体和一部分实施例2中的单体缩合制备Mw为3152道尔顿的树脂。然后在160℃下热处理树脂5小时,而没有明显改变分子量(Mw=3098,Mn=2816)。这种材料的特征是:A157nm是1.19/μm、Tg约20℃、在含水0.26N四甲基铵氢氧化物显影剂中溶解速率大于1500埃/秒和硅含量为约9.5%。
实施例11
通过实施例10的常规步骤,不使用产物热处理步骤,一部分实施例3的单体、一部分实施例4的单体和一部分TESO缩合产生Mw为约6435、Mn为5044和A157为1.23/μm的聚合物。在0.26N显影剂中溶解速率是约1070埃/秒和测得硅含量为约12%。
实施例12:合成适用于193nm光刻胶的聚合物
Figure A20041005522300301
向装有上端开口蛇形回流冷凝器的100ml三颈圆底烧瓶加入3.31g(9.21mmol)TBEND-三乙氧基硅烷、6.00g(16.12mmol)BTHB-三乙氧基硅烷、4.31g(20.73mmol)四乙氧基硅烷和27.09g(260.68mmol)丙酮。然后在室温和搅拌下将4.66g(46.07mmol)三乙基胺和4.15g(230.34mmol)水的混合物缓慢加入到该反应混合物。反应在约110℃油浴加热,并在此温度下搅拌45小时。冷却到室温后,加入10ml丙酮并且聚合物在约800ml己烷中沉淀。通过过滤收集沉淀并在50℃下真空干燥16小时。以77.8%的收率得到大约6.02g白色粉末。计算出的元素F含量是23.7wt%、Si含量是16.7wt%以及F/Si比是1.42。聚合物的凝胶渗透色谱显示Mw约8670,Mn约6240,并且Mw/Mn比例是1.39。差示扫描量热仪显示两个转变,一个在185℃,一个在270℃。热重分析显示主要重量损失集中在381℃。157nm吸收率约2.15/μm,193nm是约0.0086/μm,在MF-CD26显影剂中溶解速率是0.4埃/秒,然而在MF-312显影剂中溶解速率是大于543埃/秒。
实施例13:合成Ti聚合物
                        合成TBENB-BTHB-TEOT
室温下,1.687g(5.1mmols)TBuNBSiCl3、1.83g(5.1mmols)BTHB-三乙氧基硅烷和2.33g(10.2mmols)Ti(OEt)4以15g丙酮稀释并搅拌。向该混合物,经10分钟加入2.58g三乙基胺和2.5g水的混合物。混合物在室温下搅拌2小时。通过加入1.7g三乙基胺调节pH值由7.85至9.0,然后体系回流(57℃)并保持回流44小时。混合物冷却至室温然后用200ml去离子水淬灭。然后固体通过过滤分离并用2×25ml去离子水洗涤,然后用2×25ml庚烷洗涤。固体然后在50℃真空干燥,得到3.2g产物,Mw为11933,Mn为2488,PD为4.8。
实施例14-17:光刻胶制备和平版印刷工艺
实施例14-16:光刻胶制备和157nm平版印刷工艺
在实施例14-16每个中,光刻胶组合物通过混合以下量的下列组分制备:
组分                                        含量
聚合物                                      配方的7.58wt.%
光酸生成剂                                  聚合物的5.2wt.%
碱性添加剂                                  聚合物的0.24wt.%
表面活性剂                                  聚合物的0.1wt.%
溶剂                                        提供92wt.%的流体组成
在实施例14-16每种光刻胶中,光酸生成剂是三苯基锍全氟丁磺酸盐;碱性添加剂是四丁铵乳酸盐;表面活性剂是R-08(商品名MEGAFAC R-08,一种氟化丙烯酸酯共聚物);溶剂是2-庚酮。每种抗蚀剂中的聚合物组分随即在下面说明。在下面聚合物结构中,每个单元后面的数字称为聚合物重复单元的摩尔百分数,以聚合单元的总数计。下面的157nm吸收率是含有下面聚合物的光刻胶的吸收率。
实施例14中的聚合物:
Abs157/μm:  1.64
实施例15中的聚合物:
Figure A20041005522300321
Mw:3666
Abs157/μm:  1.47
实施例16中的聚合物:
Mw:3649
Abs157/μm:  1.5
实施例14-16中的光刻胶如下进行加工。配制的抗蚀剂通过有机聚合物层旋涂到硅晶片上。下层有机层是1180埃,并通过在215℃下固化90秒钟而交联。施涂的抗蚀剂涂层厚度为1100埃,在125℃温和烘烤60秒钟,然后使用Exitech0.68NA扫描仪,在157nm辐射下经由光掩模来使抗蚀剂涂层曝光。成像的抗蚀剂层曝光后在105℃烘烤60秒钟,用0.26N含水碱显影剂处理60秒钟来显影以获得抗蚀剂浮雕像。印制了不同的尺寸特征,包括亚0.1微米线和空隙。在图1中,显示了用实施例14中的光刻胶产生的规定尺寸的已显影的线和空隙。
实施例17:制备其它光刻胶组合物
通过混合以下量的下列组分制备了光刻胶组合物:
组分                                 含量
实施例13中制备的Ti聚合物                配方的7.58wt.%
光酸生成剂                              聚合物的5.2wt.%
碱性添加剂                              聚合物的0.24wt.%
表面活性剂                              聚合物的0.1wt.%
溶剂                                    提供92wt.%的液体组成
在此配方中,光酸生成剂是三苯基锍全氟丁磺酸盐、碱性添加剂是四丁铵乳酸盐;表面活性剂是R-08(商品名MEGAFAC R-08,一种氟化丙烯酸酯共聚物);溶剂是2-庚酮。
配制的抗蚀剂通过有机聚合物层旋涂到硅晶片上。下层有机层已经通过在215℃下固化90秒钟而预先交联。施涂的抗蚀剂涂层在125℃温和烘烤60秒钟,然后在193nm辐射下经由光掩模来曝光。成像的抗蚀剂层曝光后在105℃烘烤60秒钟,然后用0.26N含水碱显影剂处理60秒钟来显影。
实施例18:光可成像含硅聚合颗粒
向装有温度计、冷凝器、氮气进口、磁力搅拌器和油浴的100ml三颈圆底烧瓶中加入2.0g(5.6mmol)EOM-BTHB-三乙氧基硅烷、3.9g(13.1mmol)BTHB-三乙氧基硅烷、3.32g(16.0mmol)TEOS、2.0g(111mmol)水、8g丙二醇甲醚乙酸盐(PGMEA)、7g丙酮和0.05g(0.9mmol)KOH。反应混合物在120℃回流12小时。反应完全后,聚合混合物经蒸发浓缩以除去丙酮。浓缩混合物用乙酸乙酯稀释,并且溶液用水洗涤几次。有机混合物蒸发除去乙酸乙酯,然后真空蒸馏除去PGMEA和剩余的水。在蒸馏过程中,时时加入新鲜的PGMEA以进一步除去剩余的水分。加入几次新鲜的PGMEA后,当有机相达到适于沉淀的粘度时停止蒸馏。聚合物在正己烷中沉淀,然后在80℃下真空干燥24小时(得到4.48g(94%)。(凝胶渗透色谱分析Mw=7400,Mw/Mn=1.05)(元素分析(%):计算值Si=15.7,F=34.6,C=25.1/实验值Si=18.67,F=30.16,C=21.08)。没有观察到Tg。
实施例19
实施例18中含硅颗粒的凝胶渗透色谱,GPC,(图2中顶部色谱)和基体辅助激光解吸离子化飞行时间质谱,MALDITOF-MS,(底部光谱)被确定。在三个聚甲基丙烯酸酯标样的单独试验SEC中,用来确定颗粒的giration半径Rg为2.7nm。MALDITOF-MS光谱清楚地显示颗粒是具有Mn为24500Da的单一分子。由于GPC是颗粒的流体动力学体积的尺度,而MS测量实际质量,这两个测量结果显示颗粒正如所期望的高交联物质那样非常致密。当三乙胺用作碱催化剂时,也可以得到这种单分散性。在此实施例中得到的凝胶渗析色谱和质谱如图2所示。
实施例20:富硅共聚物颗粒
向装有温度计、冷凝器、氮气进口、磁力搅拌器和油浴的100ml三颈圆底烧瓶中加入10.0g(33.8mmol)BTHB-三乙氧基硅烷、6.0g(28.8mmol)TEOS、3.0g(167mmol)水、10gPGMEA、15g丙酮和0.075g(1.35mmol)KOH。反应混合物在120℃回流12小时。反应结束后,通过蒸发除去丙酮,然后聚合混合物用乙酸乙酯稀释。溶液用几部分水洗涤以除去碱。产品混合物蒸发浓缩后经真空蒸馏除去剩余的溶剂和水。在蒸馏过程中,时时加入新鲜的PGMEA以进一步除去剩余的水分。加入几次新鲜的PGMEA后,当有机相达到适于沉淀的粘度时停止蒸馏。聚合物在正己烷中沉淀,然后在80℃下真空干燥24小时(得到7.27g(91%)。(Mw=6920,Mw/Mn=1.06)。
实施例21:具有乙酸叔丁酯酸敏感基的三元共聚物
Figure A20041005522300342
向装有温度计、冷凝器、氮气进口、磁力搅拌器和油浴的100ml三颈圆底烧瓶中加入2.0g(4.88mmol)t-BAC-BTHB-三乙氧基硅烷、1.44g(4.88mmol)BTHB-三乙氧基硅烷、1.35g(6.50mmol)TEOS、1.0g(55.5mmol)水、5gPGMEA、6g丙酮和0.3g(3mmol)TEA。反应混合物在110℃回流12小时。反应结束后,聚合混合物蒸发除去丙酮,然后真空蒸馏除去PGMEA和剩余的水。在蒸馏过程中,时时加入新鲜的PGMEA以进一步除去剩余的水。加入几次新鲜的PGMEA后,当有机相达到适于沉淀的粘度时停止蒸馏。聚合物在正己烷中沉淀,然后在80℃下真空干燥24小时(得到2.4g(87%)。(Mw=6000,Mw/Mn=1.11)。当合成使用强无机碱根据实施例18中的方法进行时,得到Mw为2388Da和Mw/Mn为1.02的颗粒。
实施例22:酸促进合成(实施例20的单体)
向装有温度计、冷凝器、氮气进口、磁力搅拌器和油浴的100ml三颈圆底烧瓶中加入5.0g(16.9mmol)BTHB-三乙氧基硅烷、3.0g(14.4mmol)TEOS、3.1g水、1.6g乙醇和3ppm浓盐酸。反应混合物回流4小时。聚合物混合物用乙酸乙酯稀释,然后用几部分水洗涤直到酸被除去。在水洗之后也可以用碱中和酸,在不影响聚合物的情况下除去酸。聚合物溶液在室温下减压(抽气真空)蒸发30min。然后在真空(室温下2mmHg)中放置24小时(得到3.51g(88%))。(Mw=6700,Mw/Mn=1.39)
实施例23:实施例22的聚合物与溴乙酸叔丁基酯的后反应
向装有温度计、冷凝器、氮气进口、磁力搅拌器和油浴的100ml三颈圆底烧瓶中加入4.93g(35.8mmol)K2CO3、30g丙酮、3.0g实施例22的聚合物。反应混合物在室温下搅拌30min,然后在1小时内缓慢加入6.96g(35.8mmol)溴乙酸叔丁基酯。反应混合物回流4天。然后,反应混合物蒸发以除去丙酮,然后用乙酸乙酯稀释。然后溶液用几部分水洗涤以除去水溶性盐。得到的聚合物溶液减压浓缩,并在80℃下真空干燥24小时(得到4.75g(95%)。(Mw=6140,Mw/Mn=1.22)
实施例24:应用实施例17的聚合物的光刻胶的制备以及在193nm下的平版印刷工艺
一种光刻胶组合物用如下方式制备。通过混合91份根据实施例17制备的Si聚合物、4.5份三苯基锍氟丁磺酸盐、0.4份有机硅烷二胺和580份2-庚烷酮得到均相抗蚀剂溶液。
光刻胶如下加工。配制的抗蚀剂经有机聚合物层旋涂在8英寸硅晶片上。下层有机物层是825埃,并通过在215℃固化90秒而交联。涂敷的抗蚀剂涂层厚度为1100埃,在125℃温和烘烤60秒然后在193nm辐射下经由光掩模来曝光。成像的抗蚀剂层曝光后,在110℃烘烤60秒,然后用0.26N含水碱性显影剂处理60秒钟来显影以获得抗蚀剂浮雕像。
已经参考具体实施方式详细描述了本发明。然而,应当理解在不脱离本发明的实质和范围的情况下,本领域技术人员能够通过对公开内容的思考做出各种变化和改进。

Claims (10)

1.一种光可成像组合物,含有光活性组分和聚合物组分,聚合物组分包括含有下式所示重复单元的聚合物:
其中R是氢或非氢取代基;m和n每个都大于0。
2.权利要求1所述的光可成像组合物,其中聚合物含有下式所示重复单元:
其中R1和R2不同并可以是氢或非氢取代基,并且至少R1和R2中的一个是氢以外的基团;并且x、y和z每个都大于0。
3.含有光活性组分和聚合物组分的光可成像组合物,聚合物组分含有通过一种或多种式M(Y)4化合物反应制得的聚合物,其中M是Si、Ti、Zr、Ge或Sn;每个Y相同或不同并至少两个Y基团是活性基团。
4.适于157nm成像的光刻胶组合物,该组合物中含有光活性组分和聚合物组分,其中光刻胶组合物的Abs157/μm值为1.5或更低。
5.涂敷的基材,包括:
a)施涂在基材表面的下层有机聚合组合物涂层
b)权利要求1-4中任一光可成像组合物涂层,置于下层聚合物组合物涂层上。
6.一种生产电子器件的方法,包括:
a)在基材上施涂权利要求1-4中任一的光可成像组合物
b)将光可成像组合物涂层在活化辐射下曝光,并显影已曝光的光可成像涂层。
7.包括含有权利要求1-4任一光可成像组合物涂层的基材的制品。
8.光可成像共聚物,包括组合含有式SiO1.5<X<2的SiO的重复单元。
9.Abs157/μm值为1.2或更低的光可成像聚合物。
10.一种光可成像聚合物,通过一种或多种式M(Y)4化合物反应制得,其中M是Si、Ti、Zr、Ge或Sn;每个Y相同或不同并至少两个Y基团是活性基团。
CN2004100552234A 2003-03-03 2004-03-03 聚合物和含有该聚合物的光刻胶 Expired - Lifetime CN1570762B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45158003P 2003-03-03 2003-03-03
US60/451,580 2003-03-03

Publications (2)

Publication Number Publication Date
CN1570762A true CN1570762A (zh) 2005-01-26
CN1570762B CN1570762B (zh) 2010-10-13

Family

ID=32825433

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004100552234A Expired - Lifetime CN1570762B (zh) 2003-03-03 2004-03-03 聚合物和含有该聚合物的光刻胶

Country Status (6)

Country Link
US (2) US7390609B2 (zh)
EP (1) EP1455230A3 (zh)
JP (2) JP5124077B2 (zh)
KR (2) KR101112482B1 (zh)
CN (1) CN1570762B (zh)
TW (1) TWI317458B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111819183A (zh) * 2018-02-28 2020-10-23 中央硝子株式会社 包含六氟异丙醇基的硅化合物及其制造方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060222866A1 (en) * 2003-02-26 2006-10-05 Tsuyoshi Nakamura Silsesquioxane resin, positive resist composition,layered product including resist and method of forming resist pattern
US7297616B2 (en) * 2003-04-09 2007-11-20 Rohm And Haas Electronic Materials Llc Methods, photoresists and substrates for ion-implant lithography
JP2004354417A (ja) 2003-05-27 2004-12-16 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20050196699A1 (en) * 2004-03-03 2005-09-08 Rohm And Haas Electronic Materials Llc Polymers and photoresists comprising same
JP4551701B2 (ja) * 2004-06-14 2010-09-29 富士フイルム株式会社 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
US7432387B2 (en) 2004-08-24 2008-10-07 Toagosei Co., Ltd. Process for producing organosilicon compound
US7320855B2 (en) * 2004-11-03 2008-01-22 International Business Machines Corporation Silicon containing TARC/barrier layer
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
EP1762895B1 (en) 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
US7348447B2 (en) * 2005-10-11 2008-03-25 Xerox Corporation Aromatic disiloxane compositions
EP2420891B1 (en) * 2006-10-30 2021-06-23 Rohm and Haas Electronic Materials LLC Process for immersion lithography
FR2908558B1 (fr) 2006-11-13 2008-12-19 Commissariat Energie Atomique Materiau d'electrolyte silicie pour pile a combustible, procede pour sa realisation et pile a combustible mettant en oeuvre un tel materiau.
JP2009199058A (ja) 2007-11-05 2009-09-03 Rohm & Haas Electronic Materials Llc 液浸リソグラフィーのための組成物および方法
JP5775701B2 (ja) * 2010-02-26 2015-09-09 富士フイルム株式会社 パターン形成方法及びレジスト組成物
US9233840B2 (en) * 2010-10-28 2016-01-12 International Business Machines Corporation Method for improving self-assembled polymer features
JP5650086B2 (ja) * 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US9281212B1 (en) 2014-10-17 2016-03-08 International Business Machines Corporation Dielectric tone inversion materials
JP6455160B2 (ja) * 2015-01-14 2019-01-23 Jsr株式会社 硬化膜形成用感放射線性組成物、硬化膜、表示素子及び硬化膜の形成方法
JP6268111B2 (ja) * 2015-02-06 2018-01-24 信越化学工業株式会社 フッ素含有ケイ素化合物、その製造方法、及びフッ素含有ケイ素樹脂の製造方法
KR102177417B1 (ko) * 2017-12-31 2020-11-11 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토레지스트 조성물 및 방법
JP7024744B2 (ja) * 2018-02-22 2022-02-24 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US11437237B2 (en) 2018-02-28 2022-09-06 Central Glass Company, Limited Silicon-containing layer-forming composition, and method for producing pattern-equipped substrate which uses same
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP6865794B2 (ja) * 2018-07-31 2021-04-28 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体レジスト用組成物およびこれを用いたパターン形成方法
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11609494B2 (en) 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA586038A (en) * 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
GB1115051A (en) * 1964-05-27 1968-05-22 Owens Illinois Inc Siloxane resins
GB1451623A (en) * 1973-10-01 1976-10-06 Mullard Ltd Method of prov8ding a patterned layer of silicon-containing oxide on a substrate
US4223121A (en) * 1978-12-04 1980-09-16 Owens-Illinois, Inc. Organopolysiloxane resins of increased hardness
US4349609A (en) * 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
DE3173441D1 (en) * 1980-08-26 1986-02-20 Japan Synthetic Rubber Co Ltd Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
US4745169A (en) * 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
EP0432905B1 (en) * 1989-11-15 1998-02-04 Fujitsu Limited Polysilphenylenesiloxane, production process thereof, and resist material and semiconductor device formed thereof
EP0464614B1 (en) * 1990-06-25 1999-09-29 Matsushita Electronics Corporation A composition having sensitivity to light or radiation
US5100503A (en) * 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
TW397936B (en) * 1994-12-09 2000-07-11 Shinetsu Chemical Co Positive resist comosition based on a silicone polymer containing a photo acid generator
TW434458B (en) * 1995-04-04 2001-05-16 Shinetsu Chemical Co Chemically amplified positive resist compositions
JP3324360B2 (ja) * 1995-09-25 2002-09-17 信越化学工業株式会社 ポリシロキサン化合物及びポジ型レジスト材料
JP3518158B2 (ja) * 1996-04-02 2004-04-12 信越化学工業株式会社 化学増幅ポジ型レジスト材料
JPH1010741A (ja) * 1996-06-27 1998-01-16 Dow Corning Asia Kk 紫外線硬化性ポリシロキサン組成物およびこれを用いた硬化物パターンの製造方法
TW432257B (en) * 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
KR100452670B1 (ko) * 1997-08-06 2005-10-11 신에쓰 가가꾸 고교 가부시끼가이샤 고분자실리콘화합물,레지스트재료및패턴형성방법
US20010006757A1 (en) * 1998-03-09 2001-07-05 Kiyotaka Fukino Radiant ray-sensitive lithographic printing plate precursor
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6849377B2 (en) * 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6210856B1 (en) * 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
JP4270708B2 (ja) * 1999-04-23 2009-06-03 富士通株式会社 ケイ素含有ポリマ、その製造方法、それを用いたレジスト組成物、パターン形成方法および電子デバイスの製造方法
US6790587B1 (en) * 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
JP2001040092A (ja) 1999-07-29 2001-02-13 Jsr Corp 膜形成用組成物および絶縁膜形成用材料
JP2001040283A (ja) 1999-07-29 2001-02-13 Jsr Corp 膜形成用組成物の製造方法、膜形成用組成物および絶縁膜形成用材料
JP2001215707A (ja) * 2000-02-04 2001-08-10 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
US6406828B1 (en) * 2000-02-24 2002-06-18 Shipley Company, L.L.C. Polymer and photoresist compositions
US6444408B1 (en) * 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
US6531260B2 (en) * 2000-04-07 2003-03-11 Jsr Corporation Polysiloxane, method of manufacturing same, silicon-containing alicyclic compound, and radiation-sensitive resin composition
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6420084B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Mask-making using resist having SIO bond-containing polymer
JP2002062654A (ja) * 2000-08-16 2002-02-28 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
JP2002082437A (ja) * 2000-09-06 2002-03-22 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
JP4295937B2 (ja) * 2000-12-05 2009-07-15 株式会社Kri 活性成分及びそれを用いた感光性樹脂組成物
US7261992B2 (en) * 2000-12-21 2007-08-28 International Business Machines Corporation Fluorinated silsesquioxane polymers and use thereof in lithographic photoresist compositions
TW594416B (en) 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
TWI300516B (zh) * 2001-07-24 2008-09-01 Jsr Corp
JP4373082B2 (ja) * 2001-12-28 2009-11-25 富士通株式会社 アルカリ可溶性シロキサン重合体、ポジ型レジスト組成物、レジストパターン及びその製造方法、並びに、電子回路装置及びその製造方法
JP2004165613A (ja) * 2002-06-03 2004-06-10 Shipley Co Llc 電子デバイスの製造

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111819183A (zh) * 2018-02-28 2020-10-23 中央硝子株式会社 包含六氟异丙醇基的硅化合物及其制造方法

Also Published As

Publication number Publication date
JP2004295104A (ja) 2004-10-21
JP2011103014A (ja) 2011-05-26
KR20040078584A (ko) 2004-09-10
US20090136867A1 (en) 2009-05-28
KR101112482B1 (ko) 2012-02-24
US20040248032A1 (en) 2004-12-09
EP1455230A2 (en) 2004-09-08
JP5124077B2 (ja) 2013-01-23
EP1455230A3 (en) 2004-12-01
KR20110106829A (ko) 2011-09-29
CN1570762B (zh) 2010-10-13
KR101334031B1 (ko) 2013-11-28
US7390609B2 (en) 2008-06-24
US8026037B2 (en) 2011-09-27
TW200500794A (en) 2005-01-01
JP5178858B2 (ja) 2013-04-10
TWI317458B (en) 2009-11-21

Similar Documents

Publication Publication Date Title
CN1570762A (zh) 聚合物和含有该聚合物的光刻胶
JP4973093B2 (ja) シロキサン系樹脂組成物、光学物品およびシロキサン系樹脂組成物の製造方法
TWI393746B (zh) 矽氧烷樹脂組成物及其製法
TWI249081B (en) Composition for resist underlayer film and method for producing the same
CN1542546A (zh) 多层光致抗蚀剂***
CN1210622C (zh) 用于短波长光的负型光致抗蚀组合物及其形成图像的方法
CN1463390A (zh) 光可成像组合物
CN1666150A (zh) 基于多环聚合物的感光组合物
CN110095941A (zh) 感光性树脂组合物和半导体元件的制造方法
CN1847982A (zh) 与外涂的光刻胶一起使用的涂层组合物
CN1821878A (zh) 含有树脂掺合物的光刻胶组合物
CN1615333A (zh) 用于照相平版印刷术的旋涂玻璃抗反射涂料
CN1902546A (zh) 填孔和光刻用的抗反射涂层及其制备方法
CN1636166A (zh) 形成防反射膜的组合物
CN101617011A (zh) 基于硅聚合物的抗反射涂料组合物
CN1782878A (zh) 正型感光性硅氧烷组合物、由其形成的固化膜、以及具备固化膜的元件
CN101622296A (zh) 硅基抗反射涂料组合物
CN1564968A (zh) 形成光刻用防反射膜的组合物
CN101063818A (zh) 用于光刻技术加工的表面涂层
JP5418617B2 (ja) シロキサン系樹脂組成物、硬化膜および光学物品
US20050196699A1 (en) Polymers and photoresists comprising same
JP4281305B2 (ja) 3層レジスト中間層用樹脂組成物
WO2019022856A1 (en) METHOD FOR PREPARING A PLANE SURFACE OPTICAL WAVEGUIDE DEVICE
JP2001288268A (ja) 共重合ポリシロキサンおよび感放射線性樹脂組成物
CN1570763A (zh) 氟化的硅-聚合物和包含该聚合物的光刻胶

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20101013

CX01 Expiry of patent term