CN1155053C - 在外延反应器中处理衬底的装置及其操作方法 - Google Patents

在外延反应器中处理衬底的装置及其操作方法 Download PDF

Info

Publication number
CN1155053C
CN1155053C CNB998161306A CN99816130A CN1155053C CN 1155053 C CN1155053 C CN 1155053C CN B998161306 A CNB998161306 A CN B998161306A CN 99816130 A CN99816130 A CN 99816130A CN 1155053 C CN1155053 C CN 1155053C
Authority
CN
China
Prior art keywords
semiconductive material
material substrate
slice
gripping tool
thin piece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB998161306A
Other languages
English (en)
Other versions
CN1334959A (zh
Inventor
��ɭ�����¸��ﰢ��
温森佐·奥格里阿里
¡
维托里奥·波泽蒂
弗朗科·普莱蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LPE SpA
Original Assignee
LPE SpA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LPE SpA filed Critical LPE SpA
Publication of CN1334959A publication Critical patent/CN1334959A/zh
Application granted granted Critical
Publication of CN1155053C publication Critical patent/CN1155053C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Abstract

用于在衬底上进行化学汽相淀积(CVD)的外延设备或反应器(20)中处理所述衬底的装置包括配有抓取和输送衬底装置(60)的内机械手(30),其衬底为半导体片子(24)的形式,为了从装有待处理的半导体片子(24)的片盒(38,40)传送片子,抓取和输送装置(60)将吹洗室(34)中来自片盒(38)储存的所述片子(24)精确地输送至外延反应器(20)的反应室(22)中,更确切地讲,是输送至外延反应器(20)的反应室(22)中的平面盘状基座(26)上的凹座(28a-e)中,和反之,再从反应室(22)经吹洗室(34)输送至片盒(38,40)。

Description

在外延反应器中处理衬底的装置及其操作方法
技术领域
本发明涉及到一种处理衬底尤其是半导体片子的装置及所述装置的操作方法,它用于在所述衬底上进行半导体材料化学汽相淀积(CVD)的设备中。特别涉及到用于外延反应器的衬底处理装置,具体涉及到在所述衬底上进行化学汽相淀积(CVD)的外延反应器,所述衬底最好为用于制作半导体部件如集成电路芯片的硅衬底。
背景技术
更确切地讲,本发明涉及到一种用于外延反应器的装置,如1995年9月14日提出的题为“具有平面盘状基座和气流平行于衬底的外延反应器”的国际专利申请WO 96/10659所涉及的外延反应器。借助于本发明的装置,上述的外延反应器就成为“片盒-片盒”型反应器,因为含有待处理衬底的片盒被置于反应器内,在装片期间,第一机械臂或机械手,不构成本发明的一部分,将衬底由储片架或片盒输送至吹洗室,承担外部抓取和传输的第二机械臂或机械手,这是本发明的主体,将衬底由吹洗室输送至基座,而在取片期间,第二机械手将衬底由基座输送至吹洗室,接着第一机械手将处理过的所述衬底由吹洗室输送至一个片盒,所有这些操作,监视反应器运转的操作者都没有进行手动干预。
本发明尤其可用于冷壁CVD***,最好是用于能在制作半导体器件的衬底或硅片上以氯硅烷蒸汽热解进行外延生长的反应器。
最普遍的商品外延反应器可分为两种主要类型:
a)单片反应器,一次可加工一片;
b)批次反应器,一次可加工多个衬底或片子。
上述反应器所用的加热***可分为两种:灯式加热***和介质或高频感应式加热***。
最普遍的工业水平的批次型反应器基本上有两种:一种使用所谓的“桶型”***,即用棱镜形或平头棱锥形基座;另一种使用“薄饼型”***,具有基本上为平面盘状的基座。
目前批次型反应器通常为手动装片型,而单片反应器为自动装片型。
在自动装片反应器中,可用各有优、缺点的不同方法来处理衬底或片子。在半导体方面处理衬底是特别苛刻的,尤其是在外延反应器中,这里既有与温度相关的问题,也有粒子沾污引起的问题。
一般说来,每个衬底或片子都有底面(背面)、上表面(正面)和侧壁(边缘)。正面和背面的尺寸通常在75-300mm之间,甚至大到400mm,而边缘的尺寸小于或接近1mm。正面是片子最重要的部分,因为这是发生化学反应过程即淀积的部分。
出于上述原因,重要的是避免片子的正面与所用的任何类型处理工具的一切接触,因为任何接触,哪怕是最轻微的,也会引起晶格的不完整。如果在装片期间产生晶格的不完整,在随后的热处理过程中就会被放大;然而,也必须避免在取出片子时引起不完整。
基本上可以说,任何时候也不允许与片子的正面接触,即便是偶然的。另一方面,在一定限度内允许与所述片子的背面和边缘接触。
因此,为了移动片子,可通过正面(但没有任何直接接触)、背面或边缘来进行。
基本上,只有一种***允许由正面处理片子而工具与片子间没有接触,这是根据伯努利效应来提供适当的抓取工具(端部处理装置),可用过滤的惰性气体吹向片子正面,而产生吸引片子的效应,它足以克服片子的重量使之保持悬浮。
然而,片子边缘与工具的某些固定点的偶然接触是必然发生的,因为在没有支撑因而没有摩擦时,在抓取工具下面必然要有某些固定点来固定片子,虽然其影响不是特别负面的。
在取片期间,为使片子由其所在凹腔处提起,除其自身重量外,还须克服所述片子与其所在凹腔间形成的真空。由于这是不可能只靠伯努利效应来达到的,因此必须避免形成这种真空,例如在片子下面的凹腔处形成许多细小的沟槽网。这种技术是很好的,但只适于灯加热式反应器而不适合于感应加热式反应器,因为在基座的石墨块中存在不导电的沟槽反而会影响片子的加热均匀性。而且,这种技术明显不适合于批次型的反应器,虽然在处理片子期间惰性气体的流动有助于保持片子正面的清洁,但对邻近的片子很可能是有害的,特别是如果这种操作发生在基座附近时,因为气体的流动会使移动中存在的灰尘粒子产生移动。
另一种***是从背面来处理片子的,虽然有一个问题,即当片子在片盒中时可以达到片子的背面,但当片子在基座上时就不行了。为了克服这个缺点,可在基座中做成通孔,在需要时用穿过通孔的小支撑使片子升起,小支撑能够向上运动来实现升起,也能向下运动使片子落在基座上。事实上,在装片期间,支撑升起,片子置于其上。然后支撑下降,片子落在基座的相应凹座上。在取片期间,支撑与片子一起升起;由适当材料制成的舌状物或抓取工具(端部处理装置)伸入片子下面,取走片子。如果需要较高的稳定性,可在片子与抓取工具间稍加真空以刹住片子。然而,虽然这种技术是有效的,但实际上只能用于灯加热式反应器,而对感应加热式反应器很可能是不可接收的,因为在石墨基座中开孔会引起电流流动的不均匀,因而加热不均匀。
另一种已知的***是用可动的抓取***,如机械抓,在沿片子外径或边缘的两个或多个点抓取片子。然而,这种***不易实现,因为要对基座上的一个或多个凹腔做特殊的机加工,这样的基座与感应加热***是完全不相容的。最后,如已提到的,不允许与片子的正面有任何直接接触。
然而,也有一些***能从正面抓取片子,但只限于与其外缘的一个或多个区域接触。用片子与抓取工具间形成的小室由真空***来吸住片子。然而,对于这种情形,上升力受到与片子外缘接触的表面积的限制,而片子与抓取工具间即使有最微小的定位误差也会分别引起较小或较大的接触面积,以致引起不能抓取片子或因工具与片子直接接触而增加缺陷的风险。因此,由于工具与片子表面接触面积过大,这种***不是优选的。
发明内容
本发明的目的是提供一种改进的自动装置向外延反应器供给半导体片或由之取出半导体片,如所述国际专利申请WO 96/10659所述外延反应器,它具有平面盘状基座,且气流平行于衬底。
简言之,反应器和有关装置的运转包括以下步骤:
*将装待生长片子的片架或片盒在反应器中就位;
*装入制品,如下面详述的那样将片子传入反应室;
*在反应室内进行短暂的氢气吹洗;
*加热基座和片子使之升到适当的温度;
*按照相关规格要求进行加工循环;
*冷却至与取片步骤相容的温度;
*如下面详述的那样取出生长的片子,输送回片盒。
装片和取片步骤都是在与抓取工具的材料相容的温度下进行的。
每个生长循环后可接着进行另一个生长循环,也可接着进行所谓的腐蚀循环,即不装入片子而进行以下步骤:
*在反应室中用氢进行短暂的吹洗;
*将基座加热至适当的腐蚀温度;
*按照相关规格要求进行腐蚀循环;
*冷却至与腐蚀后接着进行的装片步骤相容的温度。
构成上述国际专利申请WO 96/10659主题的外延反应器是所谓的“薄饼”型的,即具有感应加热的盘状基座,使得上述的各种***没有一个能有效地使用。
为了克服上述缺点,所用的解决方法包括:
-第一反应区,对着所谓的清洁室,用来接收含待生长片子和已生长片子的片盒,反应室的这一部分对于待生长的片子为硅的情形至少可为处于室温的空气气氛下,或者作为选择,可设想为惰性气体吹洗室(惰性气体,即使是廉价的如氮,比空气也是优选的)。下面描述的是空气气氛的较简单情形,它既不需要非常有效的气密性也不要求延长惰性气体的清洗。对于使用空气的情形,只要对空气加上所谓的完全过滤器,以使空气气氛尽量保持没有固体颗粒(灰尘)。而且,同在反应器的第一区有两个可在任何时候打开的门以取去装有片子的片盒,开门时不要求用惰性气体进行非常长时间的吹洗或清洁。然而,作为选择,应这样来处理片子使得不允许因所述片子的局部氧化而产生最小的缺陷,反应器的第一区可配有气密的门和用于吹洗和引入惰性气体的***,也可用气动的抽气泵,以使片子最少曝露空气因而使所述缺陷减至最少。设有第一机械手,所谓控制处理片子的外机械手,是从背面处理片子的,因为现用的商品片盒制作精良允许进行这种操作。外机械手的抓取工具,也是商品,传送片子时,机械手的小真空源使片子压在其位置上。
上述解决方案的操作原理说明如下。
在装片期间,片子由相应的片盒取出而置于对准和居中的片台中,片台也可构筑在外机械手中。片盒、对准和居中的片台以及外机械手都不是新的,不构成本发明的一部分。这里,片子是按所希望的角度取向的,其几何中心的位置经过计算使能不断地精确抓取。片子则置于吹洗室内的石英盘上,后者起支撑作用,而且其形状允许由所述外机械手的商品抓取工具来操作。石英盘比片子有较大质量,在取片期间可较好地散热。
关闭通道门,用惰性气体清洗吹洗室,以完全除去空气,如有必要,可借助于真空抽气循环来进行清洗以加速所述吹洗操作。这时,打开通往本发明内机械手操作室的门,所述室总是工作在惰性气体气氛中,除了维修期间从不曝露大气。
内机械手的抓取工具或手构成了本发明的一部分,这一发明允许用与片子边缘的很有限接触来适当地处理片子。事实上,每个片子都有一个扩展约1mm的切口部分或边。抓取工具与片子边缘接触的部分被做成使接触区只限于边缘的唯一切口处,扩展约1mm,并由适当的材料如石英制成。然而片子的这一部分在集成电路制作中是无用的,因此这种解决方案无损于成品的质量。而且,抓取工具,或手,由管件与内机械手臂相连,它有两个作用:
-第一是延伸机械手臂使之达到反应室内的基座位置;
-第二是用铰链或利用臂的柔性在操作片子期间包括提升所述片子,达到工具,或手,与片子间某种程度的自调平。
由专用的气动机构得到一定的真空来保证片子的提升。通过一系列分布在抓取工具***的孔使片子得到真空,这些孔集中在片子的圆形区域,在平坦区或用来识别和取向每个片子的凹槽区则没有孔,对于直径达150mm的片子最好为平坦区,而对于片子直径较大的情形凹槽区是最好的。由于每个片子的形状都不规则就使局部的真空效果减弱,按照本发明,必须用适当计算的抓取工具吸孔浓度来补偿这种减弱。
在装片期间,基座转动,并用实质上已知的参考***来正确定位要装片的凹腔。这种定位可用实质上已知的光学***来完成。然后将片子送入反应室,定位在基座的适当凹腔之上。内机械手稍向下运动,使片子与凹腔接触,当去掉真空时,片子被放开而装入所述凹腔。重复装片步骤直至基座上的所有凹腔都被占满。然后开始按照程序在片子上进行加工。
在所有光学***中可使用激光测距***来测量激光器与所讨论的基座间的距离,产生一与所述距离成比例的模拟信号。例如,激光测距仪有助于用扫描运算接着用实质上已知的机械方法(例如微动螺旋)修正来消除基座平行定位的欠缺。这种平行定位对于在片子上均匀地外延淀积是重要的。
预定工艺一结束,就必须将片子从基座上取走。为此,待基座达到适当的温度后可将片子从反应室取出而无损伤时,必须再次使用所述内机械手,将每个片子由基座上相应凹腔取走,输送至吹洗室中置于石英盘上,因石英盘质量大而使片子冷却。在充分冷却后用外机械手将片子输送至反应器第一区某个片盒的位子上。
实现上述方法的本发明在于由一种装置来处理在外延设备或反应器中生长材料的衬底,如半导体材料片子,它包括:
-反应室,
-搬送半导体材料衬底或片子的内机械手,
-使片子通过清洁气氛的吹洗室,
-含片盒的存储区,片盒装有成叠的半导体材料片,
-外机械手将片子由储存区输送至吹洗室,
-内机械手包含密封室,室中装有铰链臂,臂的外端有抓取装置,
其特征在于,内机械手的抓取装置至少包含一个臂,它可伸入反应室而与抓取工具或手相连以从吹洗室取得半导体材料片子,在通过密封室后,将片子输送至反应室放在盘状基座的凹腔中,或是反之,从基座的凹腔输送至吹洗室,在这里手被设计成沿周边区域或倒角与片子的最上表面接触,并适于用真空效应来抓取片子。抓取装置包括使之与铰链臂(58)成枢轴连接的装置,按照这种方式在将衬底放入凹座时,衬底对凹座平面保持倾斜,使得起初只有离抓取装置的臂最远处的片子边缘触及凹座,然后以所述触及凹座的边缘为枢轴与之完全接触,和反之,在将片子从反应室输送至吹洗室时,由手的真空效应抓取的片子按下述方式脱离凹座,先提起片子离抓取装置的臂最近的一侧,然后使衬底完全脱离,进一步升高衬底同时保持相对凹座平面的倾斜。
尤其是臂为管状和中空的,其一端经软管与真空源相连,另一端与抓取手中的环形腔相连以在所述手的底侧与其下的片子间提供真空。
最好,铰链装置设置在中空的管状臂与内机械手的铰链臂之间,所述装置可使中空的管状臂升高和降低,使得手能在铰链臂确定的平面之上或之下。
此外,铰链装置设置在中空的管状臂与内机械手的铰链臂之间,所述装置可使中空的管状臂绕其纵轴转动。
最好,铰链装置包含固定在铰链臂上的支座,带有使铰链装置绕之转动的枢销,以及调节螺钉以确定手对铰链臂平面升降的允许高度,使得手能够只以其前部、或只以其后部或与盘状基座完全持平而沿径向停留在所述基座上。
另外,铰链装置在中空的管状臂周围还包含有耐磨衬套,可使所述手在与盘状基座半径垂直的方向上进行对准。
更好的是,手为直径大于所要处理片子的盘形,其对着片子的底部有一凹座,它只与所述片子的外缘咬合。
最好凹座处于手的下件,其边缘有许多孔与所述手中的环形腔相连,环形腔与中空的管状臂相连以在片子与手间提供真空。
将周围的孔主要集中在设想真空损失最大处是最好的。
在一特定的实施方案中,用激光测距仪来测量激光器与所讨论的反应室盘状基座间的距离,得到比例于所述距离的模拟信号,其中所述测距仪探测基座水平安装的欠缺以及基座相对于反应室平行定位的欠缺。
而且,在基座的外缘有一凹口用作角参考点,它可由激光测距仪探测,放片子的凹腔也由之开始计数。
按照本发明,也提供了一种用上述的手状装置将一个片子放在反应室盘状基座的凹座中的方法,其特征在于,片子用真空附着在手上,以升高的位置进入反应室输送至盘状基座的一个凹座上方,再降下来放在所述凹座上,片子保持前倾,使得凹座起初只触及离抓取装置的臂最远的片子边缘,然后才是整个表面,在去掉使片附着在手上的真空后,片子进一步下降,与手脱离,然后手再升高与片子完全脱离,最后缩回,离开反应室。
另一方面,用上述的手状装置从反应室盘状基座的凹座中取走一个片子的方法,其特征在于,手以升高的位置进入反应室到达装在盘状基座一个凹座中的片子上方,再下降直至触及片子,首先是触及离抓取装置的臂最远的片子边缘,然后是片子的全部边缘,在触及片子全部边缘后抽真空,使片子的边缘附着在手上,然后再开始升高使片子与凹座脱离,先是离抓取装置的臂最近的边缘脱离然后是片子整个表面,最后在片子完全从基座的凹座提起,输送至反应室外。
附图说明
本发明的特征将在作为本说明书结论部分的权利要求中予以详细说明。然而,其他特征和优点将表现在下面对一个实施方案实例即没有限定的实例的详细描述中,其中:
-图1为按照本发明配有外机械手和内机械手的盘状外延反应器的截面图;
-图2为按照本发明在内机械手可伸长臂端部的抓取工具即手的剖面和缩短的侧视图;
-图3为所述抓取工具即手的剖面和缩短的平面图;
-图4为图2中圈出的手细部的局部放大剖面图,表示手怎样与待输送的半导体材料片子相接;
-图5~8为剖面和缩短的侧视图,表示带有半导体片子的内机械手抓取装置向基座的凹腔运动而将片子装入基座凹腔的动作顺序;
-图9~12为剖面和缩短的侧视图,表示抓取装置与装在基座凹腔中的片子脱离的顺序;
-图13~16为剖面和缩短的侧视图,表示机械手的抓取装置向装在基座凹腔内的半导体片子运动以取去所述片子的动作顺序;
-图17~20为剖面和缩短的侧视图,表示半导体片子与基座凹腔脱离而取去的顺序。
具体实施方式
我们先考虑图1。此图表示外延反应器20,它包括配有几个机械手的反应室22以在/从盘状基座26上取去和放入半导体材料片子24a-e,基座26上提供有其尺寸可接收所述片子24a-e的凹腔28a-e。
由于片子24a-e必须放在反应室22中盘26的凹腔28a-e中或由之取去而不能手动操作,为此提供了所谓的内机械手30,所述机械手30包括成为本发明主体的端接于抓取工具或手70的管状臂64和所谓的外机械手32。内机械手30位于反应室22与吹洗室34之间,其作用是处理在用于片子的第一区或储存区36与内机械手30间或反之,由内机械手30至第一储存区36通过的片子24a-e。
储存区36有两个片盒38和40,其功能是装载要在反应室22中进行加工的半导体材料片子,或是已在反应室22中经过加工的半导体材料片子。而且储存区36包含与真空型抓取装置44端接的铰链臂42,其功能是保证片子24由片盒38输送至吹洗室34,反之,由吹洗室34至片盒40也是如此。铰链臂42实质上是早已熟知的。
吹洗室34包括快动型的第一密封门50如闸板门、与门50相同类型的第二密封门52、以及承载片子24通过所述吹洗室34的托盘54。托盘54最好由石英制成以保证良好的耐磨性和无沾污,它有一凹口55用以接收进入吹洗室34的抓取装置44,以放下和取去片子24。托盘54比片子有更大的质量,以在冷却期间较好地散热。吹洗室34的功能是使片子24在实质上无灰尘的储存区36的气氛中,可为空气或其他简单的惰性气体如氮,来进行预处理再进入主要成分为氢的反应室22,和反之,由主要成分为氢的反应室22的气氛至储存区36,因为希望避免与氢起反应的气体进入反应室,也要避免氢和CVD工作期间在反应室产生的可能是有毒的或刺激性的气体如HCl释放到大气中。
内机械手30包括密封室56,后者除有与吹洗室34相通的密封门52外,还有另一个与反应室22相通的密封门57,还包含铰链臂58,其外端有一抓取和输送装置60,将在图2~4中更详细地描述,其功能是将片子24从吹洗室34输送至反应室22或反之亦然。
如果我们考虑图2~4,可以看到,本发明的抓取和输送装置60包括与铰链臂58相连的铰链装置62和管状臂64、管状臂64配有连接件66用来与软管68(在图1中可见到)相连,后者与作为真空源的气动机构(未示出)相连。管状臂64远离铰链装置62的一端与抓取工具或″手″70相连,其作用是在一个片子24从吹洗室34的片子托盘54输送至盘状基座2期间,和反之,从基座26至托盘54时保持片子24。
手70是由两个焊在一起的圆圈形部件72和74构成的。上件72带有尾件76以固定于与管状臂64相连的夹钳78,并与下件74的尾件80一起形成与管状臂64相通的排管82。下件74备有与排管82相通的环形腔84,在其对着片子24的边缘有小孔86a-m。而且,此下件74只与片子24有限的边缘部分25接触,设想这部分在片子进行加工时是无用的。
现在我们考虑图5~8,这些图描述了抓取装置60将半导体片子24放入基座26的凹腔28中的过程。如图2和3详细描述的那样,抓取装置60是由手70构成的,手70通过管状臂64和连接件66与软管68相连,在动作时,软管68与真空源相通。
如图5所示,抓取装置60进入反应室72,输送附着在手70上的半导体片子24,片子24附着在手70上是由施加于连接件66上的真空(由箭头符号90来表示)来保证的。抓取装置60进入反应室72由第一个粗体箭头符号92来表示,它表示铰链臂58向前运动。然后抓取装置60开始下降向盘状基座26运动,如第二个粗体箭头符号94所示,它表示所述铰链臂58向下运动。
只要铰链臂58抬起,使手70所保持的片子24不与盘状基座26上的凹腔28接触,手70和片子24的重量就使铰链装置62绕枢销98转动下降至调节螺钉100所允许的位置而落在臂58端部的支座96上。当臂58向下运动至片子24的端部触及基座26内的凹腔28时,可见图6,手70的向下运动停止,随着螺钉100开始上升,抓取装置60平行于基座26上升,可见图7,直至片子24完全落入凹腔28。此时,去掉箭头90所示的真空,使片子24与手70脱离。在此期间,在片子24与手70脱离之前,两个由耐磨材料如陶瓷材料或特氟隆制成的衬套63和65使管状臂64可沿环形箭头67的方向转动,如图3所示,使得片子24在凹腔28内完全放平,从而提供了具有自调平特征的抓取和输送装置60,形成了本发明的一部分。一旦片子24完全由凹腔28支撑并平放在其中,没有了真空90就使手70与片子24分开,铰链臂58进一步向下运动使手70由片子24的内侧抬起,可见图8。
现在如果我们考察图9~12,可以看到手70怎样与片子24脱离,使片子完全释放到基座26的凹腔28中。事实上,在图9中可看到,当去掉所述真空90使手70基本上保持与片子24脱离时,臂58开始沿粗体箭头104的方向向上运动,直至手70完全停在片子24上,可见图10,由于手没有施加真空90故与片子保持脱离。铰链臂58沿箭头104的方向继续升高使手70的外侧抬起,可见图11。最后,臂58进一步升高使手70完全与片子24脱离并抬起,臂58沿箭头106方向的收缩运动使抓取和输送装置60退出反应室22(见图1)。
图13~16描述了抓取装置60使手70定位在置于基座26凹腔28中的片子24上的过程,图17~20描述了片子24从基座26的凹腔28升高和取去的过程。
特别参见图13~16,可以看到,沿箭头92所示向前运动的铰链臂58使手70与片子24对准,而随着箭头94所示的向下运动,使手移向片子。在图14中可以看到,臂58进一步下降,使手70先与片子24的内侧接触,最后,可见图15,与整个片子24接触。衬套63和65允许管状臂64绕轴线转动,也允许手70与片子24完全自对准。臂58可再向下移动一些,如图16所示,使手70从片子24的内侧离开一些,但这并不重要。
参见图17,当向管状臂64提供箭头90所示的真空时,可以如箭头104所示看到铰链臂58怎样再向上运动,使得片子24的外侧先与手70附着。然后,如图18所示,铰链臂58进一步升高,使手70与片子24完全附着,而真空使片子24附着在手70上。臂58继续升高使铰链装置62绕其枢销98转动直至螺钉100顶在支座96上,使片子24的外侧与基座26的凹腔28脱离,可见图19,从而避免了片子24与凹腔28的任何强迫分离,因为如果试图使平行于凹腔28的片子24与凹腔脱离就会发生这种情况。这样就避免了因手70施加过大的力而对片子24可能产生的损伤,也避免了因片子24突然从凹腔28放开可能引起所述管状臂64的振动。最后,可见图20,臂58沿箭头104方向的最后升高使片子24与凹腔28完全脱离,而臂28沿箭头106方向的收缩运动使手70与片子24一起退出反应室22(见图1)。
应注意,在用手70输送所述片子时,为了避免因片子24弯曲和/或补偿小对准误差而引起的过分畸变,提供了一些装置来调节供给所述手70的真空。特别是,在手70开始与片子24接触时真空必须为最大值,然后当手70与片子24完全接触时调节为预设值。
上面的描述说明了本发明实施方案的一个实例,它不是以任何方式来限制本发明,它所包括的范围将只由所附的权利要求来确定。因此本技术领域的熟练人员读了上面的描述所作出的一切逻辑上等效的解决办法必须认作是包括在本发明的范围之内的。

Claims (14)

1.用来处理在外延设备或反应器中加工的材料衬底的装置,包括:
-反应室(22),
-内机械手(30),用来搬送半导体材料衬底(24),
-吹洗室(34),用来使半导体材料衬底(24)通过清洁气氛,
-储存区(36),包含以层叠方式容纳半导体材料衬底(24)的片盒(38,40),
-外机械手(32),用来将半导体材料衬底(24)从储存区(36)输送至吹洗室(34),
其中,所述内机械手(30)包含密封室(56),所述密封室(56)中装有铰链臂(58),所述铰链臂的外端带有抓取装置(60),
其特征在于,内机械手(30)的抓取装置(60)至少包含一个臂(64),它可伸入反应室(22),并端接于抓取工具(70),以从吹洗室(34)取去半导体材料衬底(24),并输送半导体材料衬底通过密封室(56)后放置在反应室(22)盘状基座(26)的凹座(28)中,和反之,从凹座(28)将半导体材料衬底输送至吹洗室(34),在这里,抓取工具(70)被设计成与半导体材料衬底(24)最上表面的周边区域或削角边接触,并适于用真空效应来抓取半导体材料衬底(24),抓取装置(60)包括使之与铰链臂(58)成枢轴连接的装置,按照这种方式在将衬底放入凹座(28)时,衬底相对凹座(28)平面保持倾斜,使得起初只有离抓取装置(60)的臂(64)最远处的半导体材料衬底边缘触及凹座(28),然后以所述触及凹座的边缘为枢轴与之完全接触,和反之,在将半导体材料衬底(24)从反应室(22)输送至吹洗室(34)时,由抓取工具的真空效应抓取的半导体材料衬底按下述方式脱离凹座(28),先提起半导体材料衬底离抓取装置(60)的臂(64)最近的一侧,然后使衬底完全脱离,并在保持相对凹座平面倾斜的同时进一步升高衬底。
2.按照权利要求1的处理衬底的装置,其特征在于,臂(64)为中空的管状,其一端用软管(68)与真空源相连,其另一端与抓取工具(70)内部形成的环形腔(84)相连,以在所述抓取工具(70)的底面与其下的半导体材料衬底(24)间施加真空。
3.按照权利要求2的处理衬底的装置,其特征在于,铰链装置(62)设置在中空的管状臂(64)与内机械手(30)的铰链臂(58)之间,所述装置允许中空的管状臂(64)升高和降低而使抓取工具(70)处于铰链臂(58)决定的平面之上和之下。
4.按照权利要求3的处理衬底的装置,其特征在于,铰链装置(62)设置在中空的管状臂(64)与内机械手(30)的铰链臂(58)之间,所述装置也允许中空的管状臂(64)绕其纵轴转动。
5.按照权利要求4的处理衬底的装置,其特征在于,铰链装置(62)包含固定在铰链臂(58)上的支座(96),带有使铰链装置(62)绕之转动的枢销(98);以及调节螺钉(100),用以确定抓取工具(70)相对铰链臂(58)的平面升降的允许高度,使得抓取工具能够只以其前部、或只以其后部或与盘状基座(26)完全持平而沿径向停留在所述基座(26)上。
6.按照权利要求5的处理衬底的装置,其特征在于,铰链装置(62)还包含中空的管状臂(64)周围的耐磨衬套(63,65),以允许所述抓取工具(70)在与盘状基座(26)的半径垂直的方向上进行对准。
7.按照权利要求6的处理衬底的装置,其特征在于,抓取工具(70)为盘状,其直径大于要处理的半导体材料衬底(24)的直径,其面对半导体材料衬底(24)的下件(74)具有只与所述半导体材料衬底(24)的外周缘咬合的凹座。
8.按照权利要求7的处理衬底的装置,其特征在于,抓取工具(70)下件(74)上的凹座具有许多与所述抓取工具(70)中的环形腔(84)相连的边缘孔(86),环形腔与中空的管状臂(64)相连以向半导体材料衬底(24)与抓取工具(70)间供给真空。
9.按照权利要求8的处理衬底的装置,其特征在于,边缘孔(86)主要集中在预计真空损失最大处。
10.按照前面任一项权利要求的处理衬底的装置,其特征在于,用激光测距仪测量激光器与所讨论反应室(22)的盘状基座(26)间的距离而产生与所述距离成比例的模拟信号,所述测距仪探测基座(26)水平分布的欠缺以及基座(26)相对于反应室(22)平行定位的欠缺。
11.按照权利要求10的处理衬底的装置,其特征在于,在基座(26)的外缘形成的凹口用作角参考点可供激光测距仪探测,半导体材料衬底(24)的凹座(28)也由此凹口开始计数。
12.借助于按照权利要求1至9中任一项的装置中的抓取工具(70)将半导体材料衬底(24)放入反应室(22)盘状基座(26)的凹座(28)中的方法,其特征在于,通过真空附着在抓取工具(70)上的半导体材料衬底(24),以升高的位置进入反应室(22)而输送至盘状基座(26)的一个凹座(28)上方,再下降使半导体材料衬底能放在所述凹座(28)上,此时保持前倾使得起初只有离抓取装置(60)的臂(64)最远的半导体材料衬底边缘触及凹座(28),然后,在去掉使半导体材料衬底(24)附着在抓取工具(70)上的真空后,进一步下降使半导体材料衬底(24)与抓取工具(70)脱离,然后抓取工具(70)再升高,与半导体材料衬底(24)完全脱离,最后缩回退出反应室(22)。
13.借助于按照权利要求1至9中任一项的装置中的抓取工具(70)将半导体材料衬底(24)从反应室(22)盘状基座(26)的凹座(28)中取去的方法,其特征在于,抓取工具(70)以升高的位置进入反应室(22)而输送至置于盘状基座(26)的一个凹座(28)中的半导体材料衬底(24)上方,再下降直至触及半导体材料衬底(24),先是触及半导体材料衬底离抓取装置(60)的臂(64)最远的边缘,然后是半导体材料衬底(24)的整个边缘,在触及半导体材料衬底的整个边缘后,供给真空使得半导体材料衬底(24)的边缘(25)附着在抓取工具(70)上,然后再开始升高,使半导体材料衬底(24)与凹座(28)脱离,先是离抓取装置(60)的臂(64)最近的边缘脱离,然后是整个半导体材料衬底(24)表面,最后,在半导体材料衬底(24)完全从基座(26)的凹座(28)升起后,将之输送至反应室(22)外。
14.按照权利要求12或13的方法,其特征在于,为了避免半导体材料衬底(24)因弯曲而产生过分的畸变,向抓取工具(70)供给真空,所述真空在所述抓取工具(70)开始接触半导体材料衬底(24)时为最大值,当半导体材料衬底(24)未完全与抓取工具(70)接触时,接着调节真空,使所述真空达到充分保持半导体材料衬底(24)与抓取工具(70)附着的最低值而不致引起半导体材料衬底(24)真正的畸变。
CNB998161306A 1999-02-12 1999-12-03 在外延反应器中处理衬底的装置及其操作方法 Expired - Fee Related CN1155053C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IT1999MI000281A IT1308606B1 (it) 1999-02-12 1999-02-12 Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
ITMI99A000281 1999-02-12

Publications (2)

Publication Number Publication Date
CN1334959A CN1334959A (zh) 2002-02-06
CN1155053C true CN1155053C (zh) 2004-06-23

Family

ID=11381870

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998161306A Expired - Fee Related CN1155053C (zh) 1999-02-12 1999-12-03 在外延反应器中处理衬底的装置及其操作方法

Country Status (10)

Country Link
US (1) US6648974B1 (zh)
EP (1) EP1224691B1 (zh)
JP (1) JP2002536201A (zh)
KR (1) KR100623170B1 (zh)
CN (1) CN1155053C (zh)
AT (1) ATE290253T1 (zh)
DE (1) DE69924040T8 (zh)
HK (1) HK1042165A1 (zh)
IT (1) IT1308606B1 (zh)
WO (1) WO2000048234A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105619406A (zh) * 2015-12-31 2016-06-01 北京七星华创电子股份有限公司 多指机械手片叉的校准方法

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITMI20012014A1 (it) 2001-09-27 2003-03-27 Lpe Spa Utensile per maneggiare fette e stazione per crescita epitassiale
KR100487427B1 (ko) * 2001-11-06 2005-05-03 엘지.필립스 엘시디 주식회사 액정표시장치 제조용 스퍼터
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
JP2008542037A (ja) * 2005-05-26 2008-11-27 エルピーイー ソシエタ ペル アチオニ ウェーハ操作用真空システム
JP2007221031A (ja) * 2006-02-20 2007-08-30 Lintec Corp 搬送装置及び搬送方法
KR101074186B1 (ko) * 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US20080003092A1 (en) * 2006-06-30 2008-01-03 Petar Baclija Rotary union connection
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007041332A1 (de) 2007-08-31 2009-03-05 Siemens Ag Transferchuck zur Übertragung, insbesondere von Wafern
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE202009002523U1 (de) 2009-02-24 2010-07-15 Kuka Systems Gmbh Handhabungseinrichtung
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd***及其操作方法
CN102330147B (zh) * 2010-07-14 2015-11-25 郭志凯 一种硅片生产外延设备及其***
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8613474B2 (en) * 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
WO2013040330A1 (en) 2011-09-14 2013-03-21 Brooks Automation, Inc. Load station
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
CN104425331B (zh) * 2013-09-09 2017-09-29 北京北方微电子基地设备工艺研究中心有限责任公司 转盘定位装置、装载传输***及等离子体加工设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
EP3164884B1 (en) 2014-07-03 2022-02-23 LPE S.p.A. Tool for manipulating substrates, manipulation method and epitaxial reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR101666786B1 (ko) * 2014-11-21 2016-10-17 (주) 씨앤아이테크놀로지 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법
CN105632972B (zh) * 2014-12-01 2019-02-19 北京北方华创微电子装备有限公司 反应腔室
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210084058A (ko) * 2019-12-27 2021-07-07 삼성전자주식회사 기판 이송 장치 및 이를 이용한 기판 이송 시스템
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1068514A (en) * 1963-05-03 1967-05-10 Headley Townsend Backhouse Improvements in or relating to suction grippers
US4129328A (en) * 1977-06-20 1978-12-12 Littell Edmund R Plate handling apparatus with load deflection compensation
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
US4588343A (en) * 1984-05-18 1986-05-13 Varian Associates, Inc. Workpiece lifting and holding apparatus
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
JPS6365640A (ja) * 1986-09-05 1988-03-24 Kokusai Electric Co Ltd ウエハの着脱方法及び装置
JPS6387831U (zh) * 1986-11-26 1988-06-08
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5172922A (en) * 1991-04-25 1992-12-22 Digital Equipment Corporation Self aligning vacuum nozzle
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5915915A (en) * 1996-03-07 1999-06-29 Komag, Incorporated End effector and method for loading and unloading disks at a processing station
JP2772283B2 (ja) * 1996-07-16 1998-07-02 山形日本電気株式会社 真空吸着ピンセットおよびその吸着方法
US5961169A (en) * 1998-07-27 1999-10-05 Strasbaugh Apparatus for sensing the presence of a wafer
US6517130B1 (en) * 2000-03-14 2003-02-11 Applied Materials, Inc. Self positioning vacuum chuck

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105619406A (zh) * 2015-12-31 2016-06-01 北京七星华创电子股份有限公司 多指机械手片叉的校准方法

Also Published As

Publication number Publication date
IT1308606B1 (it) 2002-01-08
KR100623170B1 (ko) 2006-09-18
ATE290253T1 (de) 2005-03-15
JP2002536201A (ja) 2002-10-29
HK1042165A1 (zh) 2002-08-02
US6648974B1 (en) 2003-11-18
DE69924040T2 (de) 2006-02-09
EP1224691A1 (en) 2002-07-24
EP1224691B1 (en) 2005-03-02
DE69924040T8 (de) 2006-04-27
WO2000048234A1 (en) 2000-08-17
DE69924040D1 (de) 2005-04-07
CN1334959A (zh) 2002-02-06
ITMI990281A1 (it) 2000-08-12
KR20010110435A (ko) 2001-12-13

Similar Documents

Publication Publication Date Title
CN1155053C (zh) 在外延反应器中处理衬底的装置及其操作方法
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
JP4575647B2 (ja) 炉内でウェハをバッチ処理するための方法および装置
KR101685150B1 (ko) 박막 증착 장치 및 이를 포함한 기판 처리 시스템
KR102654680B1 (ko) 기판 이송 메커니즘들
TWI462145B (zh) 直立式熱處理裝置及直立式熱處理方法
JP2000150400A (ja) 縦型熱処理装置およびボート搬送方法
JPH08339948A (ja) 真空処理装置
JP2005311306A (ja) 縦型熱処理装置及び被処理体移載方法
JP2009099996A (ja) 縦型熱処理装置及び被処理体移載方法
CN1777707A (zh) 用于处理装置的支承***
CN1231508A (zh) 半导体贮存夹具、操作方法及生产***
JP2002359237A (ja) 基板処理装置および半導体装置の製造方法
KR102551259B1 (ko) 기상 성장 장치
CN100337311C (zh) 纵型热处理装置
KR102649528B1 (ko) 기상 성장 장치
JP3934503B2 (ja) 基板処理装置及び基板の処理方法
KR102211817B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP5031960B2 (ja) 基板処理装置および半導体装置の製造方法
JP2639435B2 (ja) 熱処理装置
JP7264038B2 (ja) 気相成長装置及び気相成長処理方法
KR102676982B1 (ko) 기상 성장 장치 및 기상 성장 방법
JP2719718B2 (ja) 熱処理装置
TW201131684A (en) Substrate processing apparatus
JP2002289666A (ja) 熱処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1042165

Country of ref document: HK

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040623

Termination date: 20101203