CN1146964C - 使纳米级微孔二氧化硅机械强度最优化的方法 - Google Patents

使纳米级微孔二氧化硅机械强度最优化的方法 Download PDF

Info

Publication number
CN1146964C
CN1146964C CNB998127639A CN99812763A CN1146964C CN 1146964 C CN1146964 C CN 1146964C CN B998127639 A CNB998127639 A CN B998127639A CN 99812763 A CN99812763 A CN 99812763A CN 1146964 C CN1146964 C CN 1146964C
Authority
CN
China
Prior art keywords
alkoxy silane
substrate
organic solvent
monomethyl ether
solvent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB998127639A
Other languages
English (en)
Other versions
CN1325542A (zh
Inventor
H-J
H·-J·吴
J·S·德拉格
L·B·布伦加德特
T·拉莫斯
ʷ
D·M·史密斯
S·瓦拉斯
K·罗德里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
AlliedSignal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AlliedSignal Inc filed Critical AlliedSignal Inc
Publication of CN1325542A publication Critical patent/CN1325542A/zh
Application granted granted Critical
Publication of CN1146964C publication Critical patent/CN1146964C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Coating Of Shaped Articles Made Of Macromolecular Substances (AREA)
  • Paints Or Removers (AREA)

Abstract

在基底上形成纳米级微孔介电涂层的方法。该方法包括在基底表面上形成一层基本均匀的烷氧基硅烷凝胶组合物,该烷氧基硅烷凝胶组合物包括至少一种烷氧基硅烷、一种有机溶剂组合物、水和任选的碱性催化剂的组合;在有机溶剂蒸汽气氛下,在足够的温度下加热此基底足够的时间,借此使凝胶组合物凝聚;然后使此凝胶组合物固化,在基底上形成具有高机械强度的纳米级微孔介电涂层。

Description

使纳米级微孔二氧化硅机械强度最优化的方法
发明背景
本发明领域
本发明涉及纳米级微孔(nanoporous)介电薄膜,还涉及其制造方法。这样的薄膜可用于制造集成电路。
先有技术的叙述
在制造集成电路时,随着特性尺寸接近0.25μm和更小,互连RC延迟、功率损耗和交扰等问题变得比较严重。已经发现,对于层间(interlevel)介电和金属间(intermetal)介电的应用,使用低介电常数(K)的材料部分地缓和了这些问题。然而,工业界所考虑的、介电常数明显低于当前使用的致密二氧化硅的每一种候选材料都有缺点。大多数低介电常数材料的开发使用了玻璃旋涂(spin-on-glasses)和氟化等离子体化学蒸汽布置(CVD)SiO2的方法,K值>3。某些有机或无机聚合物的介电常数为大约2.2~3.5,但它们的问题是热稳定性差,机械性能不好,包括玻璃化转变温度低、试样除气和长期可靠性上的问题等。
一种解决的办法是使用纳米级微孔二氧化硅作为多孔基材、层间和金属间介电材料,它们的介电常数都在大约1~3的范围内。纳米级微孔二氧化硅薄膜一般在基底上用比如浸涂或旋涂的方法形成。纳米级微孔二氧化硅是特别有吸引力的,因为能够仔细地控制其孔径和孔的分布,还因为它使用了类似的前体,如四乙氧基硅烷(TEOS),就象目前用在玻璃旋涂(SOG)和CVD SiO2方法中一样。除了具有低介电常数以外,纳米级微孔二氧化硅还能赋予微电子器件其它的优点,包括:直到900℃的热稳定性、孔径小(远远小于微电子器件的特性尺寸)、二氧化硅及其前体诸材料广泛用于半导体工业中、能够把介电常数调到很宽的范围以及使用类似于传统玻璃旋涂加工方法中用的工具进行沉积。在此引作参考的EP-A-0 775 669 A2叙述了在整个薄膜的厚度上具有均匀密度的纳米级微孔二氧化硅薄膜的制造方法。
控制纳米级微孔二氧化硅介电性能的关键参数是孔隙率,即密度的倒数。孔隙率较高的材料比致密的材料有更低的介电常数。随着孔隙率增大,密度和介电常数都下降。然而,材料的机械强度也随之下降。对于生产集成电路来说,机械强度是很关键的。在制造集成电路的过程中,在基底上要沉积许多层金属导体和绝缘介电薄膜。这些层必须能够在很高的温度下耐受多次温度变化。由于热膨胀系数不一致,这种温度的交替变化在集成电路的各个层之间可能产生很高的应力。任何一层机械强度的不当都可能导致开裂或脱层,这使得合格率很差。除了温度循环以外,对于各层的化学机械抛光,机械强度也是很重要的。机械强度不良会在抛光的过程中引起纳米级微孔薄膜及其层的性能下降。很明显,需要一种方法来制造具有适当机械强度和较低K值的纳米级微孔薄膜,用来制造适当的集成电路。
本发明给出了这个问题的解决办法。意外地发现,在将烷氧基硅烷凝胶组合物沉积到基底上以后,在有机溶剂的蒸汽气氛下加热该潮湿的组合物会得到具有较高机械强度和较低K值的纳米级微孔介电薄膜。按照本发明,潮湿的烷氧基硅烷凝胶组合物是在适合的基底上形成的,并置于有机溶剂的蒸汽气氛中。然后通过在溶剂的蒸汽气氛中加热,将这种具有极低机械强度的凝胶组合物陈化。溶剂的蒸汽气氛防止在加热过程中凝胶组合物干燥。在加热以后,使陈化过的本发明烷氧基硅烷凝胶组合物固化或干燥。用这种方法,生产出具有优化机械强度和较低K值的比较均匀的纳米级微孔二氧化硅薄膜。
发明概述
本发明提供一种在基底上形成纳米级微孔介电涂层的方法,该方法包括:
(a)在基底表面上形成一层基本均匀的烷氧基硅烷凝胶组合物,该烷氧基硅烷凝胶组合物包括至少一种烷氧基硅烷、一种有机溶剂组合物、水和任选的碱性催化剂的组合;
(b)在有机溶剂蒸汽气氛下,在足够的温度下加热此基底足够的时间,借此使凝胶组合物凝聚;然后
(c)使此凝胶组合物固化,在基底上形成纳米级微孔介电涂层。
本发明进一步提供用上述方法制造的半导体器件,其中的基底是半导体基底。
优选实施方案的详细说明
按照本发明,从至少一种烷氧基硅烷、一种有机溶剂组合物、水和任选的碱性催化剂,在基底表面上形成一种烷氧基硅烷凝胶组合物。
可以以各种方法在基底表面上形成烷氧基硅烷凝胶组合物。在一个实施方案中,通过在基底表面上沉积烷氧基硅烷、有机溶剂组合物、水和任选的碱性催化剂的预成形混合物来形成烷氧基硅烷凝胶组合物。在另一个实施方案中,在基底上沉积合并的烷氧基硅烷、有机溶剂组合物和任选的碱性催化剂物流,然后将其曝露在水中。在另一个实施方案中,在合并的物流沉积到基底之前曝露在水中。在又一个实施方案中,将合并物流同时曝露在水中和沉积在基底上。这里的水可以呈水流或水蒸气气氛的状态。在合并烷氧基硅烷、有机溶剂组合物、水和任选的碱性催化剂并沉积到基底上以后,在基底上形成烷氧基硅烷凝胶组合物,然后在溶剂蒸汽气氛中通过热板或烘箱加热将其陈化。一旦从溶剂蒸汽气氛中取出,可以将陈化的凝胶固化或干燥,从而在基底上形成具有优化了机械强度的纳米级微孔介电涂层。
本发明有用的烷氧基硅烷包括具有如下通式的化合物:
其中,基团R中至少两个独立地是C1~C4烷氧基,其余的如果存在的话,则独立地选自氢、烷基、苯基、卤素、取代的苯基。为了本发明的目的,术语“烷氧基”包括任何在接近室温的温度下容易被水解能从硅原子上裂解开来的其它有机基团。基团R可以是亚乙氧基(ethylene glycoxy)或亚丙氧基(propylene glycoxy)等,但优选四个基团R都是甲氧基、乙氧基、丙氧基或丁氧基。最优选的烷氧基硅烷非独占地包括四乙氧基硅烷(TEOS)和四甲氧基硅烷。
烷氧基硅烷凝胶组合物中的烷氧基硅烷组分的含量优选为整个掺混物重量的大约3%至大约50%,更优选为大约5%至大约45%,最优选为大约10%至大约40%。
该有机溶剂组合物优选含有比较高挥发度的溶剂,或者比较低挥发度的溶剂,或者既有比较高挥发度,也有比较低挥发度的溶剂。溶剂,一般是比较高挥发度的溶剂,在沉积到基底上以后至少一部分立即挥发。由于在第一种溶剂或部分溶剂挥发掉以后该材料的粘度还比较低,这样的部分干燥导致较好的平整性。挥发性较高的溶剂在几秒或几分钟的时间内蒸发掉。
可以任选采用稍微高的温度以加速此步骤。这样的温度优选为大约20℃~大约80℃,更优选为大约20℃~大约50℃,最优选为大约20℃~大约35℃。
为了本发明的目的,比较高挥发度的溶剂是在温度低于,优选明显低于比较低挥发度溶剂的温度下蒸发的溶剂。比较高挥发度溶剂的沸点优选是大约120℃或更低,更优选是大约100℃或更低。适当的高挥发度溶剂非独占地包括甲醇、乙醇、正丙醇、异丙醇、正丁醇和它们的混合物。先有技术专业人员很容易确定其它和其它组分相容的比较高挥发度溶剂。
比较低挥发度溶剂是在温度高于,优选明显高于比较高挥发度溶剂的温度下蒸发的溶剂。比较低挥发度溶剂的沸点优选是大约175℃或更高,更优选是大约200℃或更高。它们优选具有R1(OR2)nOH的通式,其中R1是线形或分支的C1~C4烷基,R2是C1~C4亚烷基,n是2~4。优选的低挥发度溶剂组合物组分包括二甘醇单甲醚、三甘醇单甲醚、四甘醇单甲醚、二丙二醇单甲醚、三丙二醇单甲醚和它们的混合物。其它适当的低挥发度溶剂组合物非独占地包括醇类和多元醇类,包括二醇类如乙二醇、1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基-丙三醇、2-(羟甲基)-1,3-丙二醇、1,4,1,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇单甲醚、甘油、二甘醇、三甘醇、四甘醇、五甘醇、二丙二醇、六甘醇和它们的混合物。先有技术专业人员能很容易确定其它和其它组分相容的比较低挥发度溶剂。
在烷氧基硅烷凝胶组合物中有机溶剂组分的含量优选为大约20~大约90wt%,更优选为大约30~大约70wt%,最优选为大约40~大约60wt%。当高挥发度和低挥发度溶剂都存在时,高挥发度溶剂的含量优选为烷氧基硅烷凝胶组合物重量的大约20~大约90wt%,更优选为大约30~大约70wt%,最优选为大约40~大约60wt%。当高挥发度和低挥发度溶剂都存在时,低挥发度溶剂的含量优选为烷氧基硅烷凝胶组合物重量的大约1~大约40wt%,更优选为大约3~大约30wt%,最优选为大约5~大约20wt%。
在烷氧基硅烷凝胶组合物中包括水以提供使烷氧基硅烷水解的介质。水与硅烷的摩尔比优选为大约0~大约50,更优选为大约0.1~大约10,最优选为大约0.5~大约1.5。碱可以与溶剂混合以和烷氧基硅烷合并在一起。对此碱适当的溶剂包括在前面列举的作为高挥发度溶剂的化合物。用于此碱的最优选溶剂是醇类,如乙醇和异丙醇。
在烷氧基硅烷凝胶组合物中任选的碱含量是具有催化效果的量,先有技术的专业人员很容易确定此量。碱与硅烷的摩尔比优选为大约0~大约0.2,更优选为大约0.001~大约0.05,最优选为大约0.005~大约0.02。
适当的碱非独占地包括氨和胺,比如伯烷基胺、仲烷基胺、叔烷基胺、芳胺、醇胺和它们的混合物,它们的沸点优选为大约200℃或更低,更优选为100℃或更低,最优选为25℃或更低。优选的胺是醇胺、烷基胺、甲胺、单乙醇胺、二乙醇胺、三乙醇胺、二甲胺、三甲胺、正丁胺、正丙胺、四甲基氢氧化铵、哌啶、2-甲氧基乙胺、单、二、三乙醇胺和单、二、三异丙醇胺。
用碱度常数Kb和pKb=-logKb来度量胺在水中接受质子的能力。在一个优选的实施方案中,碱的pKb可以为大约小于0~大约9,更优选为大约2-大约6,最优选为大约4~大约5。
典型的基底是适合于加工成集成电路或其它微电子器件的物品。本发明适当的基底非独占地包括半导体材料,如砷化镓(GaAs)、硅和含硅组合物,比如晶体硅、聚硅、无定形硅、外延硅和二氧化硅(SiO2)以及它们的混合物。在基底表面上可以任选地划线。这些线,当其存在时,一般是通过已知的平板印刷技术形成的,可以由金属、氧化物、氮化物或氧氮化物组成。适当的划线材料包括二氧化硅、氮化硅、氮化钛、氮化钽、铝、铝合金、铜、铜合金、钽、钨和硅的氧氮化物。这些线形成了集成电路的导体和绝缘体。它们一般很接近,彼此相隔距离优选大约20μm或更短,更优选大约1μm或更短,最优选大约0.05~大约1μm。
用于蒸汽气氛的适当的有机溶剂包括在前面作为低挥发度溶剂而开列的溶剂。在溶剂蒸汽气氛中有机溶剂的量为饱和度大约50~大约99.9%,更优选为大约70~大约99.9%,最优选为大约90~大约99.9%。该气氛中的其余部分可以是空气、氢气、二氧化碳、水蒸汽、碱蒸汽或惰性气体,如氮气和氩气。然后在有机溶剂蒸汽气氛中,在足够高的温度下加热基底足够的时间将涂布好的基底陈化,以使凝胶组合物凝聚。在本发明的文字中,凝聚意味着聚合和使涂层增强。
为了使凝胶陈化,要以传统的方法加热沉积好的基底,比如将基底放在置于溶剂蒸汽气氛里的热板上,或者在烘箱中加热整个溶剂蒸汽气氛。适当的加热温度优选为大约30~大约200℃,更优选为大约60~大约150℃,最优选为大约70~大约100℃。在陈化之前可以与溶剂蒸汽气氛一起或不与溶剂蒸汽气氛一起任选地部分加热该凝胶。
适当的凝胶陈化时间优选为大约10sec~大约60min,更优选为大约30sec~大约3min,最优选为大约1~大约2min。
然后可以用传统的方法,即在溶剂气氛以外将陈化后的烷氧基硅烷凝胶组合物固化或干燥。可以用高温来使涂层固化或干燥。适当的温度范围优选为大约20~大约450℃,更优选为大约50~大约350℃,最优选为大约175~大约320℃。为了本发明的目的,术语“固化”指的是在沉积和曝露于水以后在基底上合并的组合物的固化或干燥。
结果在基底上形成了比较均匀的、高机械强度和低介电常数的纳米级微孔介电薄膜。此纳米级微孔介电薄膜的介电常数优选为大约1.1~大约3.5,更优选为大约1.3~大约3.0,最优选为大约1.5~大约2.5。纳米级微孔介电薄膜中的孔径优选为大约1nm~大约100nm,更优选为大约2nm~大约30nm,最优选为大约3nm~大约20nm。此纳米级微孔介电薄膜包括孔隙在内的密度优选为大约0.1~大约1.9g/cm3,更优选为大约0.25~大约1.6g/cm3,最优选为大约0.4~大约1.2g/cm3
在一个任选的附加步骤中,在基底上的纳米级微孔介电薄膜可以与有效量的表面改性剂反应足够使表面改性剂渗入孔隙结构的时间,赋予其疏水性能。必须在陈化以后进行表面改性,但可以在干燥以前或以后进行。表面改性剂是疏水的,适合于在亲水孔隙表面上甲硅烷基化一个硅醇片段。优选的表面改性剂是具有选自下面通式的化合物:R3SiNHSiR3、RxSiCly、RxSi(OH)y、R3SiOSiR3、RxSi(OR)y、MpSi(OH)[4_p]、RxSi(OCOCH)y和将它们联合使用,其中x是1~3的整数,y也是1~3的整数,而且y=4-x,p是2~3的整数,每个R都独立地选自疏水的有机基团,每个M独立地选自疏水的有机基团,而且R与M可以相同或不同。基团R与M独立地优选自包括烷基、芳基或联合使用它们的有机基团。烷基基团是取代的或未取代的,选自直链的烷基、分支的烷基、环烷基或联合使用它们,其中所述烷基的大小为C1~大约C18。芳基基团是取代的或未取代的,其大小为C5~大约C18。表面改性剂优选自乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、三甲基乙氧基硅烷、三甲基甲氧基硅烷、2-三甲基甲硅烷氧基戊-2-烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-三甲基甲硅烷基咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基三甲基甲硅烷氧基乙酸酯、九甲基三硅氮烷、六甲基二硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇和联合使用它们。最优选的表面改性剂是六甲基二硅氮烷。表面改性剂可以和适当的溶剂混合,比如丙酮,以蒸汽的形式施于纳米级微孔二氧化硅表面上,然后进行干燥。
下面的非限定性实施例用来说明本发明。
实施例1
本实施例显示,在密封室中进行低温热板处理能够产生低密度的均匀薄膜。密封室的空间狭小使得控制孔隙率的溶剂以尽可能少的蒸发就使薄片上方饱和。
在一个圆底烧瓶中一起加入94.0mL四乙氧基硅烷、61.0mL三甘醇单甲醚(TriEGMME)、7.28mL去离子水和0.31mL 1N的硝酸合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后,将其冷却储存在4℃中。在让溶液冷却之后,用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的两块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。使用如下的条件在真空室中使薄膜凝胶化和陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,在2~3min增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。一块薄膜在高温下加热1min,即,各在175℃和320℃的空气中。另一块薄膜放在被加热的小空间室中并在45℃下平衡。该室在薄片上方有大约2mm的空间。将该薄膜在室内留2min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。然后对两块薄膜都用单波长多角椭圆光度计测试,以确定如表1所示的折光指数和厚度。
表1.纳米级微孔二氧化硅薄薄膜测试结果
无低温热板 45℃低温热板
  厚度()     3400     5616
  折光指数     1.20     1.124
此实施例表明,由于从热处理增加了强度,用低温热板处理的薄膜收缩较小。
实施例2
本实施例显示,在密封室内的低温热板处理能够产生低密度均匀薄膜。密封室的空间狭小使得控制孔隙率的溶剂以尽可能少的蒸发就使薄片上方饱和。
在一个圆底烧瓶中一起加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下。在溶液冷却后,用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的两块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。使用如下的条件在真空室中使薄膜凝胶化和陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,以在2~3min内增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。-块薄膜在高温下加热1min,即,各在175℃和320℃的空气中。另一块薄膜放在被加热的小空间室中并在45℃下平衡。该室在薄片上方有大约2mm的空间。将该薄膜在室内留1min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。对两块薄膜都用单波长多角椭圆光度计测试,以确定如表2所示的折光指数和厚度。
表2.纳米级微孔二氧化硅薄薄膜测试结果
无低温热板 45℃低温热板
  厚度()     3400     4500
  折光指数     1.20     1.15
此实施例表明,由于从热处理增加了强度,用低温热板处理的薄膜收缩较小。
实施例3
本实施例显示,在密封室内的低温热板处理能够产生低密度均匀薄膜。密封室的空间狭小使得控制孔隙率的溶剂以尽可能少的蒸发就使薄片上方饱和。
在一个圆底烧瓶中加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸一起合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下。在让溶液冷却以后,用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的两块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。使用如下的条件在真空室中使薄膜凝胶化和陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,以在2~3min内增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。一块薄膜在高温下加热1min,即,各在175℃和320℃的空气中。另一块薄膜放在被加热的小空间室中并在50℃下平衡。该室在薄片上方有大约2mm的空间。将该薄膜在室内留2min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。对两块薄膜都用单波长多角椭圆光度计测试,以确定如表3所示的折光指数和厚度。
表3.纳米级微孔二氧化硅薄薄膜测试结果
无低温热板 45℃低温热板
  厚度()     3400     4900
  折光指数     1.20     1.14
此实施例表明,由于从热处理增加了强度,用低温热板处理的薄膜收缩较小。
实施例4
此实施例显示,在开放热板上的低温热板处理能够产生相当低密度的均匀薄膜。控制孔隙率的溶剂的低挥发度让薄膜在开放热板上以一定的蒸发量于低温下加热,得到增加的机械强度以降低薄膜的收缩。
在一个圆底烧瓶中一起加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下。让溶液冷却后用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的两块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。使用如下的条件在真空室中使薄膜凝胶化和陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,以在2~3min增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。一块薄膜在高温下加热1min,即,各在175℃和320℃的空气中。另一块薄膜放在被加热的开放热板上并在45℃下平衡。将该薄膜在室内留2min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。对两块薄膜都用单波长多角椭圆光度计测试,以确定如表4所示的折光指数和厚度。
表4.纳米级微孔二氧化硅薄薄膜测试结果
无低温热板 45℃低温热板
  厚度()     3400     3900
  折光指数     1.20     1.165
此实施例表明,由于从热处理增加了强度,用低温热板处理的薄膜收缩较小。
实施例5
此实施例显示,在开放热板上的低温热板处理能够产生相当低密度的均匀薄膜。控制孔隙率的溶剂的低挥发度让薄膜在开放热板上以一定的蒸发量于低温下加热,得到增加的机械强度以降低薄膜的收缩。
在一个圆底烧瓶中一起加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下。让溶液冷却后用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的两块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。使用如下的条件在真空室中使薄膜凝胶化和陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,以在2~3min增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。一块薄膜在高温下加热1min,即,各在175℃和320℃的空气中。另一块薄膜放在被加热的开放热板上并在45℃下平衡。将该薄膜在室内留1min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。对两块薄膜都用单波长多角椭圆光度计测试,以确定如表5所示的折光指数和厚度。
表5.纳米级微孔二氧化硅薄薄膜测试结果
无低温热板 45℃低温热板
  厚度()     3400     4100
  折光指数     1.20     1.158
此实施例表明,由于从热处理增加了强度,用低温热板处理的薄膜收缩较小。
实施例6
此实施例显示,可以在溶剂饱和的环境下加热纳米级微孔二氧化硅薄膜以改善机械强度。
在一个圆底烧瓶中一起加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下,让溶液冷却后用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的一块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。
在加热和在30℃下平衡的真空室内进行薄膜的凝胶化和陈化。使用如下的条件进行适当的陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,以在2~3min增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。该薄膜留在室中,其中,借助于氮气鼓泡器流过加热在30℃的TriEGMME的高于95%饱和的气体。将薄膜在室内留2min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。然后用单波长多角椭圆光度计测试该薄膜,以确定折光指数和厚度。此实施例表明,由于从热处理增加了强度,用加热的饱和气体处理的薄膜收缩小得多。
实施例7
此实施例显示,可以在溶剂饱和的环境中于50℃下加热处理纳米级微孔二氧化硅薄膜以改善机械强度。
在一个圆底烧瓶中一起加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下。让溶液冷却后用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的一块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。在加热和在50℃下平衡的真空室内进行薄膜的凝胶化和陈化。使用如下的条件进行适当的陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,在2~3min增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。将该薄膜留在室中,其中,借助于氮气鼓泡器流过加热在50℃的TriEGMME的高于95%饱和的气体。将该薄膜在室内留2min,然后取出,即,在高温下加热1min,各是在175℃和320℃的空气中。然后用单波长多角椭圆光度计测试该薄膜,以确定折光指数和厚度。此实施例表明,由于从热处理增加了强度,用加热的饱和气体处理的薄膜收缩小得多。
实施例8
此实施例显示,可以在溶剂饱和的环境中于30℃下加热处理纳米级微孔二氧化硅薄膜以改善机械强度。
在一个圆底烧瓶中加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸一起合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下。让溶液冷却后用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的一块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。在加热和在30℃下平衡的真空室内进行薄膜的凝胶化和陈化。使用如下的条件进行适当的陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,在2~3min增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。将该薄膜留在室中,其中,借助于氮气鼓泡器流过加热的在30℃TriEGMME的高于95%饱和的气体。将薄膜在室内留1min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。然后用单波长多角椭圆光度计测试该薄膜,以确定折光指数和厚度。此实施例表明,由于从热处理增加了强度,用加热的饱和气体处理的薄膜收缩小得多。
实施例9
此实施例显示,可以在溶剂饱和的环境中于50℃下加热处理纳米级微孔二氧化硅薄膜以改善机械强度。在一个圆底烧瓶中一起加入94.0mL四乙氧基硅烷、61.0mL的TriEGMME、7.28mL去离子水和0.31mL 1N的硝酸合成一种前体。让该溶液猛烈地混合,然后加热到大约80℃,并回流1.5hr,形成溶液。让溶液冷却后冷却储存在4℃下。让溶液冷却后用乙醇稀释50vol%以降低粘度。用聚四氟乙烯过滤器将稀释的前体过滤到0.1mm。在旋转卡盘上的一块10.16cm(4in)的硅片上沉积大约2.0mL的前体,并以2,500rpm的速度旋转30sec。在加热和在50℃下平衡的真空室内进行薄膜的凝胶化和陈化。使用如下的条件进行适当的陈化:该室抽真空达-50.8cm(-20in)Hg。然后加热15M的氢氧化铵并在45℃达到平衡,计量打入室内,以在2~3min增加压力到-10.16cm(-4.0in)Hg。最后,将室内抽真空到-50.8cm(-20in)Hg并用氮气回充。将该薄膜留在室中,其中,借助于氮气鼓泡器流过加热在50℃的TriEGMME的高于95%饱和的气体。将薄膜在室内留1min,然后取出,在高温下加热1min,即,各是在175℃和320℃的空气中。然后用单波长多角椭圆光度计测试该薄膜,以确定折光指数和厚度。此实施例表明,由于从热处理增加了强度,用加热的饱和气体处理的薄膜收缩小得多。

Claims (29)

1.一种在基底上形成纳米级微孔介电涂层的方法,该方法包括:
(a)在基底表面上形成一层基本均匀的烷氧基硅烷凝胶组合物,该烷氧基硅烷凝胶组合物包括至少一种烷氧基硅烷、一种有机溶剂组合物、水和任选的碱性催化剂的组合,其中有机溶剂组合物包括一种沸点约为120℃或更低的较高挥发度的溶剂,和一种选自二甘醇单甲醚、三甘醇单甲醚、四甘醇单甲醚、二丙二醇单甲醚、三丙二醇单甲醚、三甘醇单甲醚和它们的混合物的较低挥发度的溶剂;
(b)在有机溶剂蒸汽气氛下,在足够的温度下加热此基底足够的时间,借此使凝胶组合物凝聚;然后
(c)使此凝胶组合物固化,在基底上形成纳米级微孔介电涂层。
2.如权利要求1的方法,其中的有机溶剂蒸汽气氛含有其沸点是大约175℃或更高的比较低挥发度的有机溶剂。
3.如权利要求2的方法,其中有机溶剂蒸汽气氛所含的比较低挥发度的有机溶剂选自二甘醇单甲醚、三甘醇单甲醚、四甘醇单甲醚、二丙二醇单甲醚、三丙二醇单甲醚、乙二醇、1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基-丙三醇、2-(羟甲基)-1,3-丙二醇、1,4,1,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇单甲醚、甘油、二甘醇、三甘醇、四甘醇、五甘醇、二丙二醇、六甘醇和它们的混合物。
4.如权利要求1的方法,其中步骤(a)的有机溶剂组合物包括如在步骤(b)有机溶剂蒸汽气氛中同样的有机溶剂。
5.如权利要求1的方法,其中该溶剂蒸汽气氛含有比较低挥发度的有机溶剂,其在气氛中的含量为大约50~大约99.9%的饱和度。
6.如权利要求1的方法,其中在烷氧基硅烷凝胶组合物中含有任选的碱性催化剂。
7.如权利要求1的方法,其中将烷氧基硅烷曝露在水蒸气形式的水中形成烷氧基硅烷凝胶组合物。
8.如权利要求1的方法,其中将烷氧基硅烷曝露在碱蒸汽形式的碱性催化剂中形成烷氧基硅烷凝胶组合物。
9.如权利要求1的方法,其中将烷氧基硅烷同时在水蒸气形式的水和碱蒸汽形式的碱性催化剂两者中形成烷氧基硅烷凝胶组合物。
10.如权利要求1的方法,其中以物流的形式将烷氧基硅烷和有机溶剂组合物沉积在基底上形成烷氧基硅烷凝胶组合物。
11.如权利要求1的方法,其中以合并物流的形式将烷氧基硅烷、有机溶剂组合物和水沉积在基底上形成烷氧基硅烷凝胶组合物。
12.如权利要求1的方法,其中以合并物流的形式将烷氧基硅烷、有机溶剂组合物和碱性催化剂沉积在基底上形成烷氧基硅烷凝胶组合物。
13.如权利要求1的方法,其中以合并物流的形式将烷氧基硅烷、有机溶剂组合物、水和碱性催化剂沉积在基底上形成烷氧基硅烷凝胶组合物。
14.如权利要求1的方法,其中步骤(a)的有机溶剂组合物包括了比较高挥发度的溶剂和比较低挥发度的溶剂。
15.如权利要求14的方法,其中比较高挥发度溶剂的沸点是大约120℃或更低,而比较低挥发度溶剂的沸点是大约175℃或更高。
16.如权利要求14的方法,其中比较高挥发度的溶剂包括选自甲醇、乙醇、正丙醇、异丙醇、正丁醇和它们的混合物的一个或几个组分,而其中比较低挥发度的溶剂组合物包括醇类和多元醇类。
17.如权利要求1的方法,其中的碱性催化剂选自氨、伯烷基胺、仲烷基胺、叔烷基胺、芳胺、醇胺和它们的混合物。
18.如权利要求1的方法,其中的烷氧基硅烷具有如下通式:
其中,基团R中至少两个独立地是C1~C4烷氧基,其余的基团R独立地选自氢、烷基、苯基、卤素、取代的苯基。
19.如权利要求18的方法,其中的每个R是甲氧基、乙氧基或丙氧基。
20.如权利要求1的方法,其中的烷氧基硅烷组合物包括至少一种选自甲醇、乙醇、正丙醇、异丙醇、正丁醇、乙二醇、1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基-丙三醇、2-(羟甲基)-1,3-丙二醇、1,4,1,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇单甲醚、甘油和它们混合物的有机溶剂。
21.如权利要求1的方法,其中的基底包括硅或砷化镓。
22.如权利要求1的方法,其中的基底包括至少一种半导体材料。
23.如权利要求21的方法,其中的半导体材料选自砷化镓、硅和含硅组合物,比如晶体硅、聚硅、无定形硅、外延硅和二氧化硅以及它们的混合物。
24.如权利要求1的方法,其中的基底在其表面上有线条图案。
25.如权利要求24的方法,其中的线条包含金属、氧化物、氮化物或氧氮化物。
26.如权利要求1的方法,其中通过加热使凝胶组合物固化。
27.如权利要求1的方法,其中纳米级微孔介电涂层的介电常数为大约1.1~大约3.5。
28.如权利要求1的方法,该方法在步骤(b)之后和在步骤(c)之前或之后,在足够赋予纳米级微孔介电涂层疏水性能的条件下,用表面改性剂处理纳米级微孔介电涂层的步骤。
29.如权利要求28的方法,其中的表面改性剂包括六甲基二硅氮烷。
CNB998127639A 1998-08-27 1999-08-17 使纳米级微孔二氧化硅机械强度最优化的方法 Expired - Fee Related CN1146964C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14128798A 1998-08-27 1998-08-27
US09/141,287 1998-08-27

Publications (2)

Publication Number Publication Date
CN1325542A CN1325542A (zh) 2001-12-05
CN1146964C true CN1146964C (zh) 2004-04-21

Family

ID=22495027

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998127639A Expired - Fee Related CN1146964C (zh) 1998-08-27 1999-08-17 使纳米级微孔二氧化硅机械强度最优化的方法

Country Status (8)

Country Link
US (1) US20030062600A1 (zh)
EP (1) EP1118110A1 (zh)
JP (1) JP2002524849A (zh)
KR (1) KR20010073054A (zh)
CN (1) CN1146964C (zh)
AU (1) AU5561899A (zh)
TW (1) TW594879B (zh)
WO (1) WO2000013221A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
KR100408436B1 (ko) * 2000-04-04 2003-12-06 이현종 폐타이어재활용블록
US6576568B2 (en) * 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
JP4572444B2 (ja) * 2000-05-22 2010-11-04 Jsr株式会社 膜形成用組成物、膜の形成方法およびシリカ系膜
WO2004105123A1 (ja) * 2003-05-21 2004-12-02 Fujitsu Limited 半導体装置
DE102004011110A1 (de) * 2004-03-08 2005-09-22 Merck Patent Gmbh Verfahren zur Herstellung monodisperser SiO2-Partikel
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
MX2007014980A (es) * 2005-05-31 2008-04-08 Xerocoat Pty Ltd Control de la morfologia de peliculas de silice.
EP2047917B1 (en) * 2006-07-31 2014-10-15 Nippon Soda Co., Ltd. Method for producing organic thin film by using film physical property improving process
JP5014709B2 (ja) * 2006-08-28 2012-08-29 日揮触媒化成株式会社 低誘電率非晶質シリカ系被膜の形成方法および該方法より得られる低誘電率非晶質シリカ系被膜
CN101774590B (zh) * 2009-01-09 2013-01-09 宁波大学 一种三维SiO2超薄膜及其应用
CN102722084B (zh) * 2011-03-31 2014-05-21 京东方科技集团股份有限公司 一种光刻方法和设备
JP6035097B2 (ja) * 2012-09-27 2016-11-30 旭化成株式会社 トレンチ埋め込み用縮合反応物溶液、及びトレンチ埋め込み膜の製造方法
CN106672985B (zh) * 2017-01-04 2019-07-16 广东埃力生高新科技有限公司 高比表面积二氧化硅气凝胶及其快速制备方法
TWI706913B (zh) * 2017-08-24 2020-10-11 南韓商Lg化學股份有限公司 二氧化矽膜之製法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2053985A1 (en) * 1990-10-25 1992-04-26 Sumio Hoshino Process for producing thin glass film by sol-gel method
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5548159A (en) * 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
US5494858A (en) * 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5807607A (en) * 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US5753305A (en) * 1995-11-16 1998-05-19 Texas Instruments Incorporated Rapid aging technique for aerogel thin films
KR19980064176A (ko) * 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체

Also Published As

Publication number Publication date
WO2000013221A1 (en) 2000-03-09
JP2002524849A (ja) 2002-08-06
EP1118110A1 (en) 2001-07-25
TW594879B (en) 2004-06-21
US20030062600A1 (en) 2003-04-03
KR20010073054A (ko) 2001-07-31
CN1325542A (zh) 2001-12-05
AU5561899A (en) 2000-03-21

Similar Documents

Publication Publication Date Title
CN1146964C (zh) 使纳米级微孔二氧化硅机械强度最优化的方法
US6177143B1 (en) Electron beam treatment of siloxane resins
KR100682614B1 (ko) 실란계 나노 다공성 실리카 박막 및 그 제조방법
KR100658393B1 (ko) 전자 빔 노광에 의해 변성되어서 저 유전율 및 저 수분 함유량을 가지는 나노포러스 실리카 유전체 막
US6372666B1 (en) Process for producing dielectric thin films
CN1160767C (zh) 纳米多孔二氧化硅的蒸气沉积工艺
JP4125637B2 (ja) 低誘電率材料及びその製造方法
CN1537325A (zh) Msq-基多孔低-k薄膜材料的等离子体固化
TW200428494A (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2006528426A (ja) 最新のLow−k材料のための紫外線硬化法
JP2003508895A (ja) Ulsi用途のためにシロキサンポリマーで処理されたナノポーラスシリカ
KR100671850B1 (ko) 다공질 필름의 개질 방법 및 개질된 다공질 필름 및 그 용도
JP2004312004A (ja) 低誘電体材料及びその作製方法
JP2010114452A (ja) 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
US6399210B1 (en) Alkoxyhydridosiloxane resins
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
US6812551B2 (en) Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US6930393B2 (en) Composition for forming porous film, porous film and method for forming the same, interlayer insulator film, and semiconductor device
US6809041B2 (en) Low dielectric constant films derived by sol-gel processing of a hyperbranched polycarbosilane
CN1260908A (zh) 渐变密度的纳米孔绝缘薄膜及其制法
US20040202874A1 (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film, and semiconductor device
JP4261297B2 (ja) 多孔質フィルムの改質方法、改質された多孔質フィルム及びその用途

Legal Events

Date Code Title Description
C06 Publication
C10 Entry into substantive examination
PB01 Publication
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1076478

Country of ref document: HK