CN1537325A - Msq-基多孔低-k薄膜材料的等离子体固化 - Google Patents

Msq-基多孔低-k薄膜材料的等离子体固化 Download PDF

Info

Publication number
CN1537325A
CN1537325A CNA028142888A CN02814288A CN1537325A CN 1537325 A CN1537325 A CN 1537325A CN A028142888 A CNA028142888 A CN A028142888A CN 02814288 A CN02814288 A CN 02814288A CN 1537325 A CN1537325 A CN 1537325A
Authority
CN
China
Prior art keywords
film material
porous film
plasma
plasma curing
modulus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028142888A
Other languages
English (en)
Inventor
Q
Q·韩
¸
C·瓦尔德弗里德
O·埃斯科西亚
��˹��ŵ��
R·阿巴诺
I·L·贝里三世
J·詹格
I·巴尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cutting Matt Technologies
Axcelis Technologies Inc
Original Assignee
Cutting Matt Technologies
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cutting Matt Technologies, Axcelis Technologies Inc filed Critical Cutting Matt Technologies
Publication of CN1537325A publication Critical patent/CN1537325A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacture Of Porous Articles, And Recovery And Treatment Of Waste Products (AREA)

Abstract

弹性模量提高的低介电常数薄膜材料。制造这类薄膜材料的方法包含:提供一种由一种含至少2个Si-CH3基团的树脂制成的多孔甲基倍半硅氧烷-基介电薄膜材料并等离子体固化该多孔薄膜材料,以使该薄膜转化为多孔二氧化硅。多孔薄膜材料的等离子体固化产生一种模量与脱气性提高的薄膜。弹性模量的提高一般大于或约为100%,更典型地,大于或约为200%。该薄膜在低于或约为350℃的一个温度下等离子体固化约15~约120秒钟。该等离子体固化的多孔薄膜材料可任选地进行退火处理。与等离子体固化多孔薄膜材料相比,等离子体固化薄膜的退火可减小薄膜的介电常数,同时保持提高的弹性模量。退火温度一般低于或约为450℃。退火等离子体固化薄膜的介电常数范围为约1.1~约2.4,且弹性模量有所提高。

Description

MSQ-基多孔低-K薄膜材料的等离子体固化
本发明一般地涉及用于电子和半导体器件如集成电路的多孔介电薄膜材料。更具体地,本发明涉及具有更高弹性模量和低介电常数的等离子体固化多孔甲基倍半硅氧烷(MSQ)-基介电薄膜材料以及制造这种薄膜的方法。
随半导体工业引进新一代性能更高、功能更强的集成电路(IC),构成这些集成电路的元件密度也提高了,而各部件或元件的尺寸、大小和部件或元件之间的间距则缩小了。虽然在过去这种缩小仅受光刻技术上确定结构的能力所限制,但尺寸小至0.25μm或更小的器件几何形状已产生了新的限制因素,如金属元件的导电率或元件之间所用绝缘材料的介电常数等。例如,对于任何2个相邻导电路径,随导体之间距离的缩短,所产生的电容(被导电路径间距离分隔的绝缘材料的介电常数(k)的函数)提高了。该电容增量又会增加导体之间的电容耦合增加、提高功耗并增加阻容(RC)时间常数。因此,半导体IC性能与功能性的继续改进取决于开发如下材料:这种材料形成的介电薄膜的介电常数(k)低于大多数常用材料,即二氧化硅的介电常数(k),由此减小电容。随这类器件尺寸变得越来越小,将要求电容大幅度减小到所谓的“超低-k”范围(例如,k<2.5)。
为提供k值降低的绝缘薄膜,最初的方法是用能降低k值的其它组分掺杂氧化硅材料(k~3.9)。例如,用氟掺杂的氧化硅材料一般能降低k值,但只能降到约3.5~3.9。这些掺杂薄膜的成型工艺常优选采用与成型未掺杂氧化硅薄膜相同或类似的方法。因此一般易将氟掺杂薄膜整合进典型的工艺流程。但是,由于这类氟掺杂薄膜仅造成k值的少量降低,还需要有降低介电常数的其它解决方案。最后,这类含氟薄膜的湿稳定性仍未解决。
许多类有机聚合物是提供低-k介电薄膜的另一个初步解决办法。一般而言,有机聚合物能形成k值可能在2.5或更高范围内的介电薄膜。一般地说,形成这类介电薄膜的方法首先要在一个基体上涂布适当预聚体的溶液。然后加热该基体直到预聚体交联并聚合到所要求的程度,形成固体薄膜。由于有机材料以液体形式施涂,能获得一定程度的表面平面化且常常无需另外的平面化工艺。但是,与由化学蒸气沉积之类的方法形成的氧化硅薄膜相比,虽然这类有机聚合物薄膜兼具较低的介电常数和提高的平面化程度,但就薄膜的其它性能而言,这类氧化硅薄膜仍具有缺点。例如,有机材料在450℃以上的热稳定性一般都有限;它们与常用金属如钨(W)和铝(Al)的粘结性往往较差;以及这类有机薄膜的机械性能比氧化硅的低得多。最后,这类有机薄膜一般具有仅在“低-k”范围内的k值(例如,k=2.7-3.0)。
电器上的介电薄膜涂层在本领域内是熟知的。例如,授予Haluska等的美国专利号4,749,631和4,756,977公开了由下述方法制备的二氧化硅基涂层:分别在基体上涂布硅醇盐或氢倍半硅氧烷溶液,然后将带涂层基体加热到200~1000℃之间的一个温度下。对于某些电子器件与电路,这类涂层的介电常数往往太高。
授予Haluska等的美国专利号4,847,162和4,842,888提出,分别将氢倍半硅氧烷树脂和硅酸酯树脂在有氨存在下加热到200~1000℃之间的一个温度下,形成氮化二氧化硅涂层。这些文献提出使用无水氨,使所形成涂层中的含氮量约为1~2重量%。
Glasser等在Journal of Non-Crystalline Solids,64(1984),209-221页一文中提出,通过在有氨存在下加热四乙氧基硅烷,形成陶瓷涂层。该文献提出使用无水氨以及所形成的二氧化硅涂层已被氮化了。
授予Jada的美国专利号4,636,440公开了一种缩短带溶胶-凝胶涂层的基体干燥时间的方法,该方法包含将基体暴露在含水氢氧化季铵和/或链烷醇胺化合物中。Jada要求在加热前先干燥涂层。该方法特别限于水解或部分水解的硅醇盐。
授予Chandra的美国专利号5,262,201和授予Baney等的美国专利号5,116,637提出使用碱催化剂,以降低要使包含氢倍半硅氧烷的各种前陶瓷材料转化成陶瓷涂层所必要的温度。这些文献提出,在涂层暴露于碱性催化剂之前,要先除去溶剂。
授予Camilletti等的美国专利5,547,703提出一种在基体上形成低介电常数含Si-O涂层的方法,该方法包含相继在湿氨、干氨和氧中加热氢倍半硅氧烷树脂。所形成的涂层在1MHz的介电常数低达2.42。该文献提出,在将涂层转化为陶瓷之前,要先除去溶剂。
授予Balance等的美国专利5,523,163提出一种在基体上形成含Si-O涂层的方法,该方法包含加热氢倍半硅氧烷树脂,使之转化为含Si-O的陶瓷涂层,然后将该涂层暴露在含氢气的退火气氛中。所得涂层的介电常数低达2.773。该文献提出,在将涂层转化为陶瓷之前,要先除去溶剂。
授予Syktich等的美国专利号5,618,878公开了适合于形成厚陶瓷涂层的含氢倍半硅氧烷树脂溶于饱和烷烃中的涂层组合物。所公开的烷烃是最长为十二烷的那些。该文献未提出在除去溶剂前要将带涂层基体暴露于碱性催化剂中。
授予Chung等的美国专利6,231,989题为“形成涂层的方法”,该专利公开了一种制备低介电常数多孔网络涂层的方法。该方法包含用一种溶液在基体上沉积涂层的方法,溶液包含一种含至少2个Si-CH3基团的树脂和一种溶剂,沉积方式要使沉积后涂层内保留至少5体积%的溶剂。然后将该涂层暴露于包含碱性催化剂与水的环境中。最后,让溶剂从涂层中蒸发出去,形成多孔网络。如果需要,可将该涂层加热固化成陶瓷。由该方法制得薄膜的介电常数为1.5~2.4,弹性模量约为2~3GPa。
在一种产生具有平面化表面的氧化硅层的方法中,已用聚有机倍半硅氧烷制备了旋涂玻璃(SOG)组合物;例如,见授予Fukuyama等的美国专利号4,670,299(Fukuyama’299)。Fukuyama’299的SOG组合物是甲硅烷基化聚有机倍半硅氧烷。该组合物以一种类似于前述有机聚合物所用的方法施涂,然后加热成固体聚合薄膜。这类薄膜,即形式为聚有机倍半硅氧烷(POSQ)的有机聚二氧化硅薄膜的优点在于:(1)它们使薄膜具有低介电常数(k=2.6-3.0),以及(2)它们保留了氧化硅型材料较高的机械强度。
但是,优选有一种如下的最终介电薄膜:既具有从有机聚二氧化硅如聚有机倍半硅氧烷形成的薄膜的优点,介电常数又更低(k<2.5)。为达到这一目标,最可能的方法是将POSQ与另一种介电常数较低的物质进行共混。介电常数最低的物质是空气(k=1.0)。因此,为达到较低的介电常数,可以在POSQ材料中引进孔隙率。
已采用过几种方法在低介电材料如有机低-k聚合物或有机聚二氧化硅低-k聚合物中引入孔隙率。方法之一是合成一种由一种高热稳定性、高Tg低-k聚合物与一种更易热降解的第二(有机)聚合物组成的嵌段共聚物。据称该方法的优点在于:在材料热固化时形成闭孔多孔结构。见Iledrick等,(1993)聚合物34:4717和Hendrick等,(1995)聚合物36:4855。但是,该方法因控制合成与加工步骤上的困难而受阻,也受聚合物材料热稳定性的限制。另一种方法使用一种由成孔(即孔隙率-引进)有机侧链聚合物接枝到高热稳定性、高Tg低k聚合物上的接枝聚合,以便在热处理后形成多孔材料;见授予Hawker等的美国专利号6,107,357和5,767,014。但该方法也将合成与加工复杂性引进了孔隙率-引入方法。
但是,多孔薄膜的机械性能不好。在芯片制造过程中弱膜会在为平面化晶片表面所用的化学机械抛光(CMP)工艺中失效。多孔薄膜的机械性能随薄膜的孔隙率而变。自然而然,较高的孔隙率导致较低的介电常数而较差的机械性能。因此,需要一种兼具低介电常数与良好机械性能的多孔薄膜。
本发明通过提供一种具有低介电常数与弹性模量提高的多孔介电薄膜材料来满足上述需要。这种薄膜材料的制造方法包含:提供一种具有第一介电常数和第一弹性模量的多孔MSQ-基介电薄膜材料,其中多孔薄膜材料由一种含至少2个Si-CH3基团的树脂制成。将该薄膜进行等离子体固化,以减少一定量的Si-CH3键并产生一种具有第二介电常数和第二弹性模量的含SiOx等离子体固化多孔薄膜材料,第二介电常数与第一介电常数相当,第二弹性模量大于第一弹性模量,以及其中,x是一个介于1-2的数字。弹性模量的提高一般大于或约为100%,更典型地,大于或约为200%。
等离子体固化多孔薄膜材料可任选地进行退火处理,以获得一种具有第三介电常数和第三弹性模量的退火等离子体固化多孔薄膜材料。等离子体固化多孔膜材料的热退火会保持或降低薄膜的介电常数,同时保持较退火前弹性模量提高的弹性模量。退火等离子体固化多孔薄膜材料的介电常数在约1.1~约3.5范围内。退火温度一般都低于或约为450℃,退火时间一般不超过或约为60分钟。
因此,本发明的一个目的是要生产具有提高的弹性模量、低介电常数、提高的热稳定性、提高的脱气性和非常优良的集成性能的介电薄膜材料。
本发明基于以下发现:等离子体固化多孔MSQ-基薄膜会提高薄膜的弹性模量而无需热固化薄膜。等离子体固化会减少一定量的Si-CH3键而不会失去薄膜的低密度结构。
等离子体固化会在膜内产生可观量的极性成分,它们在某些应用中是不希望存在的。本发明还基于以下发现:对等离子体固化多孔MSQ-基介电薄膜进行热退火处理会产生一种低介电常数和模量提高的薄膜材料。
本发明的方法特别适用于在电子器件或电路板上沉积涂层,它们在生产晶体管之类的器件中起层内介电层、掺杂介电层的作用,在生产电容器和电容器类的器件、多层器件、三维器件、绝缘体器件上的硅、超晶格器件等中,起含硅带颜料粘结剂体系的作用。但是,拟用本发明涂布的基体和器件的选择仅受基体在本发明所用温度与压力下的热稳定性和化学稳定性所限制。因此,本发明的涂层能用于下列基体上:包括如聚酰亚胺、环氧、聚四氟乙烯及其共聚物、聚碳酸酯、丙烯酸类和聚酯之类的塑料、陶瓷、皮革、织物、金属等。
如本发明所用,术语“陶瓷”包括无定形二氧化硅之类的陶瓷和类陶瓷材料如并非完全没有碳原子和/或氢原子、但呈陶瓷特性的类无定形二氧化硅材料。术语“电子器件”或“电路板”包括,但不限于,二氧化硅-基器件、砷化镓-基器件、碳化硅-基器件、聚焦平面阵列、光电子器件、光伏电池和光学器件。
作为本发明的起始材料,需要一种多孔MSQ-基介电薄膜。这种包含硅、氧和碳的薄膜涂层可以由多孔有机取代聚二氧化硅和一种有机成孔剂形成。由旋涂工艺制成并用于本发明的典型超低-k多孔介电MSQ-基材料可购自Chemat Technologies公司(Northridge,CA)和JSR公司(Tokyo,Japan)。制造这类多孔介电薄膜的方法如下文所述。
生产多孔MSQ-基介电薄膜材料的一种方法始于在基体上沉积一薄层多孔有机取代聚二氧化硅涂层。该多孔聚二氧化硅薄膜涂层是通过用一种共混溶液旋涂一薄层多孔有机取代聚二氧化硅薄膜而制成。该共混溶液是在合适的溶剂(即醇)中包含下列组分:(1)一种含至少2个Si-CH3基团的热稳定、低介电常数、有机-无机混杂主体聚合物;(2)一种可热降解的有机聚合物,起成孔剂(即一种孔隙率-诱导材料)作用;和选择性地包括(3)其它添加剂(如表面活性剂)。
热稳定低介电常数主体聚合物是一种有机-无机混杂聚合物(即有机聚二氧化硅,具体地说,是(RSiOx)n型聚有机倍半硅氧烷(POSQ),其中R是一个有机取代基,如甲基、乙基或苯基,以及其中,x是一个介于1与2之间的数字。
成孔剂或孔隙率-诱导材料是一种可热降解的有机聚合物,热固化时,它在主体聚合物交联温度以上的温度下完全降解为非反应性气态小分子物。然后这些非反应性气态小分子降解物扩散出主体材料,在主体聚合物中留下孔洞(即孔隙率)。主体聚合物(如MSQ等)的交联温度通常在100-200℃量级,所以有机成孔剂的降解温度需大大超过该温度(如250-350℃)。
降解温度达300℃或更高的适用有机成孔剂必须足够大(如>10)才能在主体材料中形成轮廓分明的孔洞,但不能大到(例如,<100)孔尺寸在低-k材料薄膜总宽度中占一个可观的百分数。进一步,优选在主体材料中产生的孔洞具有规则与重现性几何形状(例如,直径和体积都相同的球形孔)。一般而言,有机树状聚合物或超支化有机聚合物是具有上述特性的最佳侯选成孔剂,比线形聚合物的重现性好得多。线形聚合物可能具有较高的分子量与尺寸分布,在材料中产生规则(即球状)与可重现的孔洞与孔尺寸要难得多。
这类薄膜能通过热固化除去成孔剂。其结果形成一种多孔超低-k材料的薄膜。也可用涉及其它方法的另一些实施方案来产生多孔聚二氧化硅。
虽然这类具有低介电常数的多孔MSQ-基介电材料在生产某些电子或半导体器件中是理想的,但优选有一种弹性模量更高的薄膜。
为提高多孔MSQ-基介电薄膜材料的弹性模量,要将它进行等离子体固化。等离子体固化可通过射频(RF)、感应耦合、RF电容耦合、螺旋形谐振器、微波顺流和微波电子回旋加速共振(ECR)等离子体进行。等离子体固化会提高多孔MSQ-基介电薄膜材料的机械性能、增加材料硬度,同时保持介电、孔结构、密度和电性能。
在一个典型的等离子体固化工艺中,以快速等速升温步骤将晶片迅速加热到所要求的温度并等离子体固化该晶片。
等离子体固化的精确条件取决于所用的等离子体类型。对于一个200mm晶片,典型的微波等离子体固化条件的实例如下所示:
微波等离子体功率:      500W-3000W
晶片温度:              80℃-350℃
加工压力:              1.0乇-4.0乇
等离子体固化时间:      <120秒
等离子体气体:         H2/N2/CF4/O2
N2H2流率:           >0-4000sccm
O2流率:              >0-4000sccm
CF4流率:             >0-400sccm
与炉内(热)固化多孔薄膜材料相比,等离子体固化多孔薄膜材料的弹性模量提高了,当介电常数约为2.0时,弹性模量介于约1.0~约3.5GPa之间。弹性模量的提高一般大于或约为100%,更典型地,大于或约为200%。一般地说,等离子体固化多孔薄膜材料的弹性模量大于或约为3GPa,更典型地,介于约3Gpa到约10GPa之间。
本发明的等离子体固化多孔MSQ-基介电薄膜材料具有提高的化学稳定性和提高的尺寸稳定性。所谓提高的化学稳定性,是指该薄膜更耐化学药品,如清洗液和化学抛光液,且更耐光刻抛光和干刻蚀工艺中的等离子体损伤。
此外,等离子体固化大大减少或消除了齐聚二氧化硅和其它物质从多孔膜中的脱气。但是,等离子体固化会在薄膜中产生可观量的极性成分。
如果需要,等离子体固化薄膜材料可任选地用任何类型的热暴露进行退火处理,以降低介电常数。例如,可以将等离子体固化薄膜放在一个常用烘箱内直到除尽极性成分,例如在400℃处理30分钟。能采用的另一个方法涉及在一个快速热加工(RTP)室内退火处理等离子体固化薄膜材料,以降低介电常数。等离子体固化薄膜要在一个典型的温度下退火足够长的时间,然后冷却到约100℃。
RTP工艺的典型操作条件如下所示:
扫描速率:    15℃/秒
晶片温度:    350-450℃
退火时间:    <180秒
加工压力:    大气压
与等离子体固化MSQ-基介电薄膜相比,退火等离子体固化薄膜材料的介电常数会有所下降。退火等离子体固化薄膜的介电常数一般为约1.1~约3.5,更典型地,约1.8~约2.4范围内。
在本发明的又一个实施方案中,将多孔MSQ-基介电薄膜材料等离子体固化到厚度约0.3μm~约0.7μm,并用于大马士革工艺中。或者,也可将该薄膜等离子体固化到厚度约0.7μm~约1.25μm,并用于双大马士革工艺。或者,也可将在带图形晶片上的多孔MSQ-基薄膜等离子体固化到厚度约1.3~约1.5μm,并用作层间介电层或钝化层。
在还有一个实施方案中,将一层或多层等离子体固化的或退火等离子体固化的多孔MSQ-基介电薄膜层成型为一个具有多层互联结构的微电子器件。
为更易理解本发明,参考下列实施例,这些实施例旨在说明本发明而不限制它们的范围。
实施例1
典型MSQ-基介电薄膜材料的不同等离子体条件及所得性能示于下表1。
表1 MSQ-基介电薄膜材料的等离子体条件与性能
工艺 条件 厚度减少(%) R.I提高(%)  等离子体固化后的接触角 弹性模量GPa 介电常数
    He/H2     60s/3乇/350℃   3.25   1.37     50     1    2.30
    Ar/H2     60s/3乇/250℃   2.21   0.98     55
    N2H2     60s/1乇/285℃   7.70   1.60     5     1.6    2.35
    N2H2+CF4     60s/1乇/285℃   14.05   -0.04     60     2.5    2.35
    N2H2+O2+CF4     60s/2.5乇/285℃   29.52   0.49     29     5    6.00
    对比   0.07   0.00     90     1    2.30
虽然为说明本发明已给出了一些代表性实施方案和细节,但对本领域内的技术人员来说,显然在公开于此的组成和方法上都可作各种变化而不偏离本发明的范围,范围规定在所附权利要求中。

Claims (28)

1.一种制造等离子体固化材料的方法,该方法包含:
提供一种具有第一介电常数与第一弹性模量的多孔甲基倍半硅氧烷-基介电薄膜材料,其中,多孔薄膜材料由一种含至少2个Si-CH3基团的树脂制成;以及
将该多孔薄膜材料等离子体固化以减少一定量的Si-CH3键并产生具有第二介电常数和第二弹性模量的含SiOx等离子体固化多孔薄膜材料,其第二介电常数与第一介电常数相当,第二弹性模量大于第一弹性模量,以及其中,x是一个介于1-2之间的数字。
2.权利要求1的方法,其中多孔薄膜材料的等离子体固化用约500W~约3000W的等离子体功率进行。
3.权利要求1的方法,其中多孔薄膜材料的等离子体固化在低于或约为350℃的一个温度下进行。
4.权利要求1的方法,其中多孔薄膜材料的等离子体固化在约150℃~约350℃的一个温度下进行。
5.权利要求1的方法,其中多孔薄膜材料的等离子体固化在约270℃~约300℃的一个温度下进行。
6.权利要求1的方法,其中多孔薄膜材料的等离子体固化在约1乇~约4乇的加工压力下进行。
7.权利要求1的方法,其中多孔薄膜材料的等离子体固化时间在约15~约120秒。
8.权利要求1的方法,其中用一种等离子体气体对多孔薄膜材料进行等离子体固化。
9.权利要求8的方法,其中等离子体气体选自H2等离子体气体、N2等离子体气体、CF4等离子体气体或O2等离子体气体,或它们的组合。
10.权利要求1的方法,其中,在多孔薄膜材料的第一弹性模量与等离子体固化多孔薄膜材料的第二弹性模量之间,弹性模量的增量大于或约为100%。
11.权利要求1的方法,其中,在多孔薄膜材料的第一弹性模量与等离子体固化多孔薄膜材料的第二弹性模量之间,弹性模量的增量大于或约为200%。
12.权利要求1的方法,其中等离子体固化多孔薄膜材料的第二弹性模量大于或约为3GPa。
13.权利要求1的方法,其中等离子体固化多孔薄膜材料的第二弹性模量为约3GPa~约10GPa。
14.权利要求1的方法,其中等离子体固化多孔薄膜材料的脱气量已大大降低或消除。
15.权利要求1的方法,该方法还包含将等离子体固化多孔薄膜材料进行退火处理,以获得一种具有第三介电常数与第三弹性模量的退火等离子体固化多孔薄膜材料,第三介电常数与第二介电常数相当或更低,第三弹性模量与第二弹性模量相当。
16.权利要求15的方法,其中等离子体固化多孔薄膜材料在低于或约为450℃的一个温度下进行退火处理。
17.权利要求15的方法,其中等离子体固化多孔薄膜材料在约350℃~约450℃的一个温度下进行退火处理。
18.权利要求15的方法,其中等离子体固化多孔薄膜材料的退火时间不超过或约为60分钟。
19.权利要求15的方法,其中退火等离子体固化多孔薄膜材料的第三介电常数为约1.1~约3.5。
20.权利要求15的方法,其中退火等离子体固化多孔薄膜材料的第三介电常数为约1.8~约2.4。
21.一种由权利要求1的方法制成的等离子体固化多孔薄膜材料。
22.一种由权利要求15的方法制成的退火等离子体固化多孔薄膜材料。
23.一种含有由权利要求1的方法制成的等离子体固化多孔薄膜材料的电子器件。
24.一种含有由权利要求15的方法制成的退火等离子体固化多孔薄膜材料的电子器件。
25.一种带有由权利要求1的方法制成的等离子体固化多孔薄膜材料的基体。
26.一种带有由权利要求15的方法制成的退火等离子体固化多孔薄膜材料的基体。
27.一种含SiOx的多孔等离子体固化薄膜材料,其介电常数为约1.1~约3.5,弹性模量比非等离子体固化的含SiOx多孔薄膜材料的高约100~200%。
28.一种含SiOx的多孔等离子体固化薄膜材料,其介电常数为约1.8~约2.4,弹性模量比非等离子体固化的含SiOx多孔薄膜材料的高约100~200%。
CNA028142888A 2001-07-16 2002-07-15 Msq-基多孔低-k薄膜材料的等离子体固化 Pending CN1537325A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/906,276 US6759098B2 (en) 2000-03-20 2001-07-16 Plasma curing of MSQ-based porous low-k film materials
US09/906,276 2001-07-16

Publications (1)

Publication Number Publication Date
CN1537325A true CN1537325A (zh) 2004-10-13

Family

ID=25422184

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA028142888A Pending CN1537325A (zh) 2001-07-16 2002-07-15 Msq-基多孔低-k薄膜材料的等离子体固化

Country Status (5)

Country Link
US (1) US6759098B2 (zh)
EP (1) EP1410431A1 (zh)
JP (1) JP2004538637A (zh)
CN (1) CN1537325A (zh)
WO (1) WO2003015150A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101454886B (zh) * 2006-05-30 2011-02-02 应用材料股份有限公司 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法
CN111363183A (zh) * 2020-02-25 2020-07-03 深圳赛兰仕科创有限公司 复合膜及其制备方法

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
CN1197134C (zh) * 2000-05-08 2005-04-13 电气化学工业株式会社 低相对介电常数的SiOx膜、制造方法和使用它的半导体装置
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
EP1491074B1 (en) * 2002-03-18 2017-07-26 Oxford University Innovation Limited Phosphorescent dendrimers for use in light-emitting devices
KR20030094432A (ko) * 2002-06-04 2003-12-12 삼성전자주식회사 다공성 절연막의 박막 특성을 개선시키는 방법
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040130027A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Improved formation of porous interconnection layers
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004296476A (ja) * 2003-03-25 2004-10-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
WO2004090058A1 (en) * 2003-04-09 2004-10-21 Lg Chem, Ltd. Coating composition for insulating film production, preparation method of insulation film by using the same, insulation film for semi-conductor device prepared therefrom, and semi-conductor device comprising the same
US6753269B1 (en) * 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP4358563B2 (ja) * 2003-07-02 2009-11-04 東京エレクトロン株式会社 半導体装置の低誘電率絶縁膜形成方法
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
US20050087517A1 (en) * 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
DE10360933A1 (de) 2003-12-23 2005-07-28 Infineon Technologies Ag Verfahren zum Herstellen einer Kapazität in einer Schicht aus Low-k-Material
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
JP4223012B2 (ja) 2005-02-09 2009-02-12 富士通マイクロエレクトロニクス株式会社 絶縁膜の形成方法、多層構造の形成方法および半導体装置の製造方法
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7763869B2 (en) * 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7501292B2 (en) * 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8466411B2 (en) 2011-03-03 2013-06-18 Asm Japan K.K. Calibration method of UV sensor for UV curing
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170014484A (ko) 2015-07-30 2017-02-08 서울바이오시스 주식회사 자외선 광원 모듈
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (ja) 1983-03-30 1984-10-11 Fujitsu Ltd 配線構造体
JPS6086017A (ja) * 1983-10-17 1985-05-15 Fujitsu Ltd ポリハイドロジエンシルセスキオキサンの製法
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4636440A (en) 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
JPS63107122A (ja) 1986-10-24 1988-05-12 Fujitsu Ltd 凹凸基板の平坦化方法
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4749631B1 (en) 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
DE3834088A1 (de) 1988-10-07 1990-04-12 Basf Ag Verfahren zum herstellen von homopolymerisaten des ethens sowie copolymerisaten des ethens mit hoeheren (alpha)-monoolefinen mittels eines ziegler-katalysatorsystems
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5010159A (en) 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5116637A (en) 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP2795002B2 (ja) 1991-09-19 1998-09-10 日本電気株式会社 HgCdTe薄膜の製造方法
JP3153367B2 (ja) 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション ポリハイドロジェンシルセスキオキサンの分子量分別方法
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5618878A (en) 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
AU6973296A (en) * 1995-09-12 1997-04-01 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
DE69738298T2 (de) * 1996-08-08 2008-09-18 Nitto Denko Corp., Ibaraki Anisotropische, leitende folie und ihr herstellungsverfahren
US5935646A (en) * 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
US5767014A (en) 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH118450A (ja) * 1997-06-16 1999-01-12 Denki Kagaku Kogyo Kk 金属ベース回路基板
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6156671A (en) 1999-03-10 2000-12-05 United Microelectronics Corp. Method for improving characteristic of dielectric material
KR100292409B1 (ko) * 1999-05-24 2001-06-01 윤종용 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6107357A (en) 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6143360A (en) 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
JP2001223269A (ja) * 2000-02-10 2001-08-17 Nec Corp 半導体装置およびその製造方法
US6576300B1 (en) * 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6692844B2 (en) * 2000-08-29 2004-02-17 The Boeing Company Glaze for ceramic superplastic forming (SPF) dies
JP2002203852A (ja) * 2001-01-05 2002-07-19 Mitsubishi Electric Corp 絶縁膜の形成方法及び絶縁膜
JP3926566B2 (ja) * 2001-01-16 2007-06-06 株式会社タバタ ダイビング用ジャケット
US20030087534A1 (en) * 2001-09-10 2003-05-08 Rensselaer Polytechnic Institute Surface modification for barrier to ionic penetration
JP2003092287A (ja) * 2001-09-19 2003-03-28 Nec Corp アッシング方法
JP2003303808A (ja) * 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
US6706637B2 (en) * 2002-05-09 2004-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101454886B (zh) * 2006-05-30 2011-02-02 应用材料股份有限公司 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法
CN111363183A (zh) * 2020-02-25 2020-07-03 深圳赛兰仕科创有限公司 复合膜及其制备方法
CN111363183B (zh) * 2020-02-25 2022-09-06 深圳赛兰仕科创有限公司 复合膜及其制备方法

Also Published As

Publication number Publication date
EP1410431A1 (en) 2004-04-21
JP2004538637A (ja) 2004-12-24
WO2003015150A1 (en) 2003-02-20
US20020102413A1 (en) 2002-08-01
US6759098B2 (en) 2004-07-06

Similar Documents

Publication Publication Date Title
CN1537325A (zh) Msq-基多孔低-k薄膜材料的等离子体固化
US7635524B2 (en) Coating composition for dielectric insulating film, dielectric insulating film prepared therefrom, and electric or electronic device comprising the same
US7407879B2 (en) Chemical planarization performance for copper/low-k interconnect structures
US7504470B2 (en) Polyorganosiloxane dielectric materials
US6812551B2 (en) Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
WO2004068555A2 (en) Repair and restoration of damaged dielectric materials and films
CN1146964C (zh) 使纳米级微孔二氧化硅机械强度最优化的方法
US6809041B2 (en) Low dielectric constant films derived by sol-gel processing of a hyperbranched polycarbosilane
US20100176496A1 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
US6764718B2 (en) Method for forming thin film from electrically insulating resin composition
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
EP0860462A2 (en) Composition and method for the formation of silica thin films
KR100711043B1 (ko) 카르보실란계 폴리머를 함유하여 이루어지는 피막 형성용조성물, 및 상기 조성물로부터 얻어진 피막
US20030207595A1 (en) Semiconductor device having a low dielectric constant dielectric material and process for its manufacture
JPH10150036A (ja) 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
Yang et al. Processing and characterization of ultralow-dielectric constant organosilicate
JP3588603B2 (ja) 絶縁膜の形成方法および半導体装置の製造方法
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
US20090324928A1 (en) Forming ultra low dielectric constant porous dielectric films and structures formed thereby
Fujii et al. Fabrication of mesoporous silica for ultra-low-k interlayer dielectrics

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication