CN112714944A - 使用双等离子体的间隔件开口工艺 - Google Patents

使用双等离子体的间隔件开口工艺 Download PDF

Info

Publication number
CN112714944A
CN112714944A CN202080004273.7A CN202080004273A CN112714944A CN 112714944 A CN112714944 A CN 112714944A CN 202080004273 A CN202080004273 A CN 202080004273A CN 112714944 A CN112714944 A CN 112714944A
Authority
CN
China
Prior art keywords
plasma
spacer
workpiece
gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202080004273.7A
Other languages
English (en)
Other versions
CN112714944B (zh
Inventor
宋采文
C·闫
仲華
杨晓晅
D·V·德塞
P·J·伦贝西斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Publication of CN112714944A publication Critical patent/CN112714944A/zh
Application granted granted Critical
Publication of CN112714944B publication Critical patent/CN112714944B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

提供了用于加工工件的***和方法。在一个示例中,方法包括将工件放置在加工室中的工件支撑件上。该方法包括执行间隔件处理工艺,将工件暴露于由第一等离子体中的第一工艺气体产生的物质,以在工件上的间隔件层上执行间隔件处理工艺。第一等离子体可以在加工室中产生。在执行间隔件处理工艺之后,该方法可以包括执行间隔件蚀刻工艺,将工件暴露于由第二等离子体中的第二工艺气体产生的中性自由基,以蚀刻工件上的间隔件层的至少一部分。第二等离子体可以在远离加工室的等离子体室中产生。

Description

使用双等离子体的间隔件开口工艺
相关申请的交叉引用
本申请要求于2019年7月3日提交的标题为“使用双等离子体的间隔件开口工艺”的美国临时申请序列号62/870,184的优先权权益,其通过引用并入本文。
技术领域
本公开总体涉及工件加工,例如半导体工件加工。
背景技术
半导体工件的加工可以包括在衬底上沉积和去除不同的材料层。随着半导体器件中临界尺寸减小,器件尺寸和材料厚度在半导体加工中持续减小。在先进的器件节点中,对其它材料具有高选择性的材料去除可能对于半导体器件性能变得日益重要。自对准多重图案化技术(例如,自对准双重图案化(SADP)、自对准四重图案化(SAQP))可以以传统光刻工具分辨率实现减小的特征尺寸。
发明内容
本公开的实施例的方面和优点将在以下描述中部分地阐述,或者可以从描述中获悉,或者可以通过实施例的实践获悉。
本公开的一个示例方面涉及一种用于加工工件的方法。该方法包括将工件放置在加工室中的工件支撑件上。该方法包括执行间隔件处理工艺,将工件暴露于由第一等离子体中的第一工艺气体产生的物质,以在工件上的间隔件层上执行间隔件处理工艺。第一等离子体可以在加工室中产生。在执行间隔件处理工艺之后,该方法可以包括执行间隔件蚀刻工艺,将工件暴露于由第二等离子体中的第二工艺气体产生的中性自由基,以蚀刻工件上的间隔件层的至少一部分。第二等离子体可以在远离加工室的等离子体室中产生。
参考以下描述和所附权利要求书将更好地理解各种实施例的这些和其它特征、方面和优势。并入本说明书并构成本说明书的一部分的附图示出了本公开的实施例,并且与本说明书一起用于解释相关原理。
附图说明
在参照附图的说明书中阐述了针对本领域普通技术人员的实施例的详细讨论,其中:
图1示出了设置在工件上的间隔件结构;
图2示出了设置在工件上的间隔件结构;
图3示出了根据本公开的示例实施例的工艺;
图4示出了根据本公开的示例实施例的示例等离子体加工装置;
图5示出了根据本公开的示例实施例的方法;
图6示出了根据本公开的示例实施例的工艺;
图7示出了根据本公开的示例实施例的工艺。
具体实施方式
现在将详细参考实施例,实施例的一个或多个示例在附图中示出。通过说明实施例来提供每个示例,每个示例并非本公开的限制。实际上,对于本领域技术人员显而易见的是,在不脱离本公开的范围或精神的情况下,可以对实施例进行各种修改和变化。例如,作为一个实施例的一部分示出或描述的特征可与另一实施例一起使用以产生又一实施例。因此,本公开的各方面旨在涵盖这些修改和变化。
本公开的实例方面针对用于对工件进行自对准多重图案化(例如,自对准双重图案化(SADP)、自对准四重图案化(SAQP))的工艺。随着半导体器件中临界尺寸减小,器件尺寸和材料厚度持续减小。在先进的逻辑和存储器(例如,动态随机存取存储器DRAM)器件的制造中,所谓的自对准多重图案化技术可以是以传统光刻工具分辨率显著减小特征尺寸的经济方法。在示例的SADP工艺流程中,在衬底上形成芯轴结构。使用沉积工艺(例如,原子层沉积工艺)在芯轴结构上形成间隔件层(例如,SiN层)。该间隔件在顶部和底部开口以在其间形成具有芯轴(例如硅)材料的间隔件对结构。随后,选择性地去除芯轴材料,而间隔件结构保持完整。所述间隔件结构进一步作为后续各向异性离子间隔件蚀刻工艺中的硬掩模,将其图案转移到下伏材料。在工艺流程的另一实例中,自对准双重图案化(SADP)方案可在所谓的自对准四重图案化(SAQP)方案中重复。
自对准多重图案化工艺会带来挑战。例如,残余的间隔件材料可引起微掩蔽效应,导致在后续蚀刻步骤中残留芯轴残余物。使用例如来自远程等离子体源的纯自由基蚀刻可以减小间隔件的临界尺寸,这可能会降低准确的图案转移。由于需要去除的不均匀氧化物,在结构底部的氧化物损失(例如,SiO2)可能在后续的图案转移步骤中引起问题。作为另一示例,当使用电容耦合等离子体时,间隔件顶部轮廓可以是不对称的(例如,严重的小面化),导致在后续工艺步骤中不均匀的离子偏转。
根据本公开的示例方面,用于加工工件的方法(例如,作为自对准多重图案化工艺的一部分)可以包括例如间隔件处理工艺和间隔件蚀刻工艺。间隔件处理工艺可用于处理设置在芯轴结构(例如,硅芯轴)上的间隔件(例如,SiN间隔件)。间隔件处理工艺可在加工室中使用电容耦合等离子体由具有诸如H2、CH4等的含H化学物质的工艺气体产生物质。在一些实施例中,工艺气体可以包括惰性气体(例如,Ar、He等)以作为稀释剂。间隔件处理工艺可以通过使用设置在工件支撑件中的偏压电极在加工室中产生电容耦合等离子体来实施,该工件支撑件用于在加工室中支撑工件。可在较低压力(例如,小于约100毫托)和高偏压功率(例如,约75Wb至约150Wb)下实施间隔件处理工艺。间隔件处理工艺可以在进行间隔件蚀刻工艺之前修改该间隔件表面(例如,SiN表面)。
间隔件蚀刻工艺可以使用感应耦合等离子体在远程等离子体室中由工艺气体产生物质。可以过滤该物质,使得自由基(例如中性自由基)暴露于加工室中的工件以蚀刻间隔件。用于间隔件蚀刻步骤的工艺气体可以包括含氟化学物质,例如NF3、SF6等。在一些实施例中,工艺气体可以包括O2气体以帮助F自由基解离。在一些实施例中,工艺气体可以包括惰性气体(例如,Ar、He等)以作为稀释剂。相对于间隔件处理工艺,间隔件蚀刻工艺可在更高压力(例如,高于约300毫托)下执行。
在一些实施例中,可在间隔件蚀刻工艺与间隔件处理工艺之间实施净化工艺。净化工艺可以使用惰性气体(例如,Ar、He等)来净化加工室中的气体和残余物。以此方式,间隔件处理工艺和间隔件蚀刻工艺可以在同一加工室中原位执行,而不必在不同的加工室之间转移工件,从而提高了加工时间内的效率。
间隔件处理工艺和间隔件蚀刻工艺可以交替地循环重复,直到间隔件材料被充分蚀刻(例如,开口)并且暴露芯轴结构。一旦暴露芯轴结构,可执行芯轴去除工艺以去除芯轴,留下用于将图案转移到下伏材料的间隔件。
本公开的示例方面可以提供多个技术效果和益处。例如,对于双等离子体(例如,电容耦合等离子体、感应耦合等离子体),可以并入并调整反应离子蚀刻(RIE),使得作为自对准多重图案化工艺的一部分的间隔件开口步骤可以在减少残余物的情况下实现良好的各向异性。
在示例实施例中,根据本公开的示例方面的方法可以形成更圆且对称的间隔件轮廓。更具体地,图1示出了根据本公开的示例方面的SiN间隔件50的示例性间隔件轮廓,该示例性间隔件轮廓由执行间隔件处理工艺并随后执行间隔件蚀刻工艺而产生。SiN间隔件50具有圆形轮廓边缘52。图2示出了根据本公开的示例方面在没有间隔件处理步骤的情况下使用如电容耦合等离子体产生的SiN间隔件60的示例性间隔件轮廓。SiN间隔件60具有尖锐的倾斜轮廓边缘62。如图1和图2所示,相对于间隔件60,间隔件50具有更圆且更对称的轮廓。尖锐的倾斜轮廓边缘62可在后续工艺步骤中导致不均匀的离子偏转。通过使间隔件50具有更圆且更对称的轮廓(例如,间隔件轮廓边缘52),可减少后续工艺步骤中的离子偏转。
参考“工件”讨论本公开的各方面。为了说明和讨论的目的,工件可以包括半导体晶片。使用本文提供的公开内容的所属领域的普通技术人员将了解,本公开的实例方面可与任何工件(例如,半导体衬底或其它合适的衬底)相关联地使用。此外,术语“约”与数值一起使用旨在指在所述数值的百分之二十(20%)内。
如本文所用,“远程等离子体”是指远离工件产生的等离子体,例如在通过分离栅格与工件分离的等离子体室中产生的等离子体。如本文所用,“直接等离子体”是指直接暴露于工件的等离子体,例如在具有可操作以支撑工件的工件支撑件的加工室中产生的等离子体。
图3示出了根据本公开的示例实施例用于自对准多重图案化的示例方法80的概观。例如,工件可以包括图案化结构,该图案化结构包括设置在衬底层70上的一个或多个芯轴结构72(例如,硅芯轴)。衬底层70可以是半导体层(例如,Si、SiGe)和/或电介质层(例如,SiO2)。间隔件层75可以设置在芯轴结构72上。间隔件层可以是例如SiN间隔件层。
工件可经历间隔件处理工艺以及随后的间隔件蚀刻工艺的一个循环82,至少部分地去除间隔件75的一部分。下面将讨论关于示例间隔件处理工艺和间隔件蚀刻工艺的细节。循环82可根据需要或期望在84重复,也可以重复多次,直到已去除足够量的间隔件75以暴露芯轴结构72。可实施芯轴去除工艺86以去除芯轴结构并在衬底层70上留下间隔件75。然后可以使用蚀刻工艺将由间隔件75形成的图案转移到衬底层70。
图4示出了可以用于实施根据本公开的示例实施例的工艺的示例等离子体加工装置100。等离子体加工装置100包括加工室110和与加工室110分离的等离子体室120。加工室110包括工件支撑件或基座112,其可操作以保持待加工的工件114,例如半导体晶片。在该示例图示中,通过感应耦合等离子体源135在等离子体室120(即,等离子体产生区域)中产生等离子体,并且将期望的物质从等离子体室120通过分离栅格组件200输送到工件114的表面。
等离子体室120包括电介质侧壁122和顶板124。电介质侧壁122、顶板124和分隔栅格200限定等离子体室内部125。电介质侧壁122可以由电介质材料(例如石英和/或氧化铝)形成。感应耦合等离子体源135可包括感应线圈130,感应线圈130邻近等离子体室120周围的电介质侧壁122设置。感应线圈130通过合适的匹配网络132耦合到RF功率发生器134。工艺气体可以从气体供应部150和环形气体分配通道151或其它合适的气体引入机构提供到室内部。当感应线圈130由来自RF功率发生器134的RF功率通电时,可以由等离子体室120中的工艺气体产生等离子体。在特定实施例中,等离子体加工装置100可包括可选的接地法拉第屏蔽体128以减少感应线圈130到等离子体的电容耦合。
如图4所示,分离栅格200将等离子体室120与加工室110分离。分离栅格200可用于在等离子体室120中执行从由等离子体产生的混合物进行离子过滤,产生经过滤的混合物。经过滤的混合物可以在加工室中暴露于工件114。
在一些实施例中,分离栅格200可以是多板分离栅格。例如,分离栅格200可以包括以彼此平行的关系间隔开的第一栅格板210和第二栅格板220。第一栅格板210和第二栅格板220可以隔开一段距离。
第一栅格板210可以具有包括多个孔的第一栅格图案。第二栅格板220可以具有包括多个孔的第二栅格图案。第一栅格图案可以与第二栅格图案相同或不同。带电粒子可以在其穿过分离栅格中的每个栅格板210、220的孔的路径中在壁上重新结合。中性物质(例如,自由基)可以相对自由地流过第一栅格板210和第二栅格板220中的孔。孔的大小和每个栅格板210和220的厚度可以影响带电粒子和中性粒子的透过性。
在一些实施例中,第一栅格板210可以由金属(例如,铝)或其他导电材料制成,和/或第二栅格板220可以由导电材料或电介质材料(例如,石英、陶瓷等)制成。在一些实施例中,第一栅格板210和/或第二栅格板220可以由其他材料制成,例如硅或碳化硅。在栅格板由金属或其它导电材料制成的情况下,栅格板可被电接地(例如,与参考接地耦合)。
图2的示例性等离子体加工装置100可操作以在等离子体室120中产生第一等离子体502(例如,远程等离子体)。第一等离子体502可以是感应耦合等离子体。当使用第一等离子体502加工工件时,可以说等离子体加工装置100以感应耦合等离子体模式操作。
等离子体加工装置100可以在加工室110中产生第二等离子体504(例如,直接等离子体)。更具体地,等离子体加工装置100包括在基座112中具有偏压电极510的偏压源。偏压电极510可以经由合适的匹配网络512耦合到RF功率发生器514。当用RF能量通电偏压电极510时,可以由加工室110中的混合物产生第二等离子体504,用于直接暴露于工件114。第二等离子体504可以是电容耦合等离子体。当使用第二等离子体504加工工件时,可以说等离子体加工装置100以电容耦合等离子体模式操作。加工室110可以包括用于从加工室110排出气体的排气口516。
如图4所示,装置100可以包括气体输送***150,气体输送***150被配置为例如经由气体分配通道151或其他分配***(例如,喷头)将工艺气体输送到等离子体室120。气体输送***可以包括多个原料气体管线。工艺气体可以经由作为喷头的分离栅格200输送到加工室110。以下将详细讨论用于间隔件处理工艺、间隔件蚀刻工艺、净化工艺和芯轴去除工艺的示例性工艺气体。
图5示出了根据本公开的示例实施例的示例方法(300)的流程图。方法(300)可以例如使用图4的等离子体加工装置100来实施。然而,在不偏离本公开的范围的情况下,可以使用其他等离子体加工装置。图5示出了为了说明和讨论的目的以特定顺序执行的步骤。使用本文所提供的公开内容的所属领域的一般技术人员将了解,可在不脱离本公开的范围的情况下适应、省略、重新布置、重复、同时执行、扩展、包含未说明的步骤或以其它方式重新布置的本文所描述的任何方法的各种步骤。
在(302),该方法包括将工件放置在等离子体处理设备的加工室中的工件支撑件上。加工室可以与等离子体室分离(例如,通过分离栅格组件分离)。例如,该方法可以包括将工件114放置到图4的加工室110中的工件支撑件112上。该工件可以包括设置在芯轴结构(Si芯轴)上的间隔件(例如,SiN间隔件)。
在(304),该方法可以包括执行间隔件处理工艺。间隔件处理工艺可以将间隔件(例如,SiN间隔件)暴露于在加工室中使用电容耦合等离子体产生的物质(例如,直接等离子体)。电容耦合等离子体可以由包括诸如H2、CH4等的含氢化学物质的工艺气体产生。例如,可以使用设置在工件支撑件中的RF偏压电极来产生电容耦合等离子体。
例如,具有含氢化学物质的工艺气体可以从气体供应部150通过分离栅格200(例如,作为喷头)提供到加工室110中(图4)。RF偏压电极510可通过RF能量通电,以由加工室中的工艺气体产生电容耦合等离子体。由电容耦合等离子体在工艺气体中产生的物质可以暴露于工件上的间隔件结构以处理该工件。间隔件处理工艺可在减压(例如,低于约100毫托)下执行。
间隔件处理工艺的示例工艺参数提供如下:
工艺气体:H2+稀释气体
稀释气体:N2和/或Ar和/或He
工艺压力:约6至约30毫托
RF偏压源功率:约20至约120W(偏压)
工件温度:约15至约35℃
工艺周期:约10至约60秒
工艺气体的气体流速:
H2:约100至约500sccm
稀释气体:约50至约500sccm。
在完成间隔件处理工艺之后,方法(300)可以包括执行净化工艺。净化工艺可以在有或没有等离子体的情况下执行。净化工艺可以包括将净化气体(例如,诸如Ar、He等的惰性气体)流入加工室中,从加工室中净化残余物。
例如,可以通过分离栅格200(例如,作为喷头)从气体供应部150向加工室110(图4)提供净化气体(例如,惰性气体)。净化气体可以净化间隔件处理工艺之后残留在加工室110中的残余物和其它物质。
在完成净化工艺之后,方法(300)可以包括执行间隔件蚀刻工艺。间隔件蚀刻工艺可以将工件暴露于在远程等离子体室中产生的自由基(例如,中性自由基)。中性自由基可以使用感应耦合等离子体源由具有含氟化学物质的工艺气体(例如,如NF3、SF6等)产生。可选地,在工艺气体中可以包括O2气体。可选地,惰性气体可用作工艺气体的稀释剂。
例如,工艺气体可经由气体供应部150提供至等离子体室内部125(图4)。可以使用等离子体源135在工艺气体中感应出感应耦合等离子体。在感应耦合等离子体中产生的物质可以穿过分离栅格组件200,以过滤该物质中的离子。穿过分离栅格组件的中性自由基可暴露于工件,执行间隔件蚀刻工艺。
在一些实施例中,分离栅格组件200可以被配置为以大于或等于约90%、例如大于或等于约95%的效率来过滤离子。离子过滤的百分比效率是指从混合物中去除的离子相对于混合物中离子总数的量。例如,约90%的效率指示在过滤期间约90%的离子被去除。约95%的效率是指在过滤期间约95%的离子被去除。
在一些实施例中,分离栅格200可以是多板分离栅格。该多板分离栅格可具有多个平行的分离栅格板。可以选择栅格板中的孔的布置和对准以提供期望的离子过滤效率,例如大于或等于约95%。
用于间隔件蚀刻工艺的示例工艺参数提供如下:
工艺气体:NF3+稀释气体
稀释气体:N2和/或Ar和/或He
工艺压力:约300至约600毫托
感应耦合等离子体源功率:0W偏压;约850至约1500W源
工件温度:约15至约35℃
工艺周期:约10至约60秒
工艺气体的气体流速:
NF3:约10至约200sccm
稀释气体:约200至约1000sccm。
如图5所示,间隔件处理工艺304、净化工艺306和308可以形成循环工艺的一个循环320。如322所示,循环320可以以循环方式重复,直到间隔件已经打开并且芯轴结构暴露。
在(310),该方法可以包括执行芯轴去除工艺。在不脱离本公开的范围的情况下,可以使用用于蚀刻芯轴的任何合适的工艺。在一些实施例中,芯轴去除工艺可将芯轴暴露于由远程等离子体源中的等离子体产生的自由基以去除硅芯轴。例如,在一些实施例中,含氟气体(例如,三氟化氮(NF3)或四氟甲烷(CF4)或其它含氟烃类,诸如CH2F2、CHF3、CH3F)可用于形成与远程ICP等离子体源相关联的高选择性物质,在对间隔件和子层的损坏减小的情况下去除硅芯轴。
更具体地,一种示例性芯轴去除工艺可包括使用远程等离子体室中的感应耦合元件由工艺气体产生物质。该工艺可以包括将含氟气体(例如,三氟化氮(NF3)或四氟甲烷(CF4)或其他含氟烃类,诸如CH2F2、CHF3、CH3F)与该物质一起引入以形成混合物。该方法可以包括将工件的硅结构暴露于该混合物以去除硅芯轴的至少一部分。在一些实施例中,工艺气体可以还包括氢(H2)。在一些其它实施例中,工艺气体还可以包括惰性气体,例如氦(He)或氩(Ar)。
在一些实施例中,工艺气体可以包括惰性气体。惰性气体可以被允许进入等离子体室中。含氟气体(例如,NF3或CF4或其它含氟烃类,诸如CH2F2、CHF3、CH3F)可在等离子体室外部和等离子体室的下游流动位置处注入。例如,可以经由等离子体后气体注入源注入含氟气体(例如,NF3或CF4或其它含氟烃类,诸如CH2F2、CHF3、CH3F)。作为示例,等离子体后气体注入源可以位于分离栅格的第一栅格板和第二栅格板之间,该分离栅格可以将等离子体室与加工室分离。在一些实施例中,后等离子体气体注入源可以位于加工室中的分离栅格下方。
以下提供根据本公开的示例实施例使用感应耦合等离子体源的芯轴去除工艺的示例工艺参数:
工艺气体:CF4、NF3、H2
稀释气体:He/Ar
工艺压力:约200毫托至约1000毫托(例如,约600毫托)
感应耦合等离子体源功率:约600W至约2500W(例如,约1500W)
电容耦合等离子体源功率:约0W至约150W(例如,约50W)
工件温度:(20℃)约5℃至90℃(例如,约20℃)
工艺周期:约10秒至200秒(例如,40秒)
工艺气体的气体流速:约50sccm至约500sccm(例如,300sccm)。
在图5的(312),该方法可以包括从加工室移出工件。在不偏离本公开的范围的情况下,可以在从加工室移出工件之前执行附加的工艺步骤(例如,将间隔件转移到下伏衬底)。
可以对本公开的示例方面进行变化和修改。例如,工艺可用于可能需要准原子层蚀刻的其它结构,诸如要求精确控制的回蚀刻工艺。该工艺可以与其他工艺组合。例如,如图6所示,工艺(400)可以包括在设置在芯轴结构72(例如,Si芯轴)上的间隔件层75(例如,SiN间隔件)上以特定的工艺周期实施标准电容耦合等离子体(CCP)蚀刻工艺402(未处理)。标准CCP蚀刻工艺可以形成具有更尖锐轮廓的间隔件轮廓边缘77。在标准CCP蚀刻工艺之后,可以根据本公开的示例方面实施(例如,循环)间隔件处理工艺和间隔件蚀刻工艺(之后是芯轴去除工艺),产生相对于仅执行标准CCP蚀刻工艺具有更钝的轮廓边缘78的间隔件75。以此方式,可控制工艺参数,诸如在间隔件处理和间隔件蚀刻工艺之前使用标准CCP蚀刻工艺蚀刻的间隔件材料的时长和/或百分比,以获得间隔件的期望轮廓形状。
此外,可调整根据本公开的示例方面的间隔件处理及间隔件蚀刻工艺的工艺参数,以实现期望的间隔件轮廓。例如,在一个示例中,可以增加工艺压力(例如,从6毫托到30毫托)来形成从上到下的负载。增加的压力可适用于期望蚀刻轮廓为倒锥形形状或需要较大间隔件顶部临界尺寸的情况。
增加的压力也可用于SAQP的情况,其中期望打开顶部和底部间隔件并在芯轴上方存在另一材料层的情况下从先前的SADP图案转移去除芯轴芯。在此情况下,在较高压力下,负载可减缓底部间隔件蚀刻速率且残留的底部间隔件层在芯轴上方的材料正被去除时可作为保护层。
例如,图7示出其中对间隔件蚀刻工艺增加压力的示例工艺500。例如,工件可以包括设置在衬底90上的芯轴结构92上的间隔件层95。芯轴结构92的顶部可以包括与衬底90材料相同的层94,该层94从前一转移步骤留下。根据本公开的示例方面,可在间隔件处理和/或间隔件蚀刻工艺期间增加压力,以形成顶部负载并减缓底部间隔件蚀刻。这可导致当芯轴结构92暴露时,间隔件层95的一部分97残留在衬底上。间隔件层95的此部分97可在从芯轴结构92的顶部去除层84时保护衬底90免受蚀刻。
尽管已经针对本主题的特定示例实施例详细描述了本主题,但是应当理解,本领域技术人员在对前述内容理解之后,可以容易地产生对这些实施例的改变、变化和等同物。因此,本公开的范围是作为示例而不是作为限制,并且本公开不排除包括对本主题的这样的修改、变化和/或附加,这对于本领域的普通技术人员是显而易见的。

Claims (20)

1.一种用于加工工件的方法,所述方法包括:
将工件放置在加工室中的工件支撑件上;
执行间隔件处理工艺,将所述工件暴露于由第一等离子体中的第一工艺气体产生的物质,以对所述工件上的间隔件层执行间隔件处理工艺,所述第一等离子体在所述加工室中产生;
在执行所述间隔件处理工艺之后,执行间隔件蚀刻工艺,将所述工件暴露于由第二等离子体中的第二工艺气体产生的中性自由基,以蚀刻所述工件上的所述间隔件层的至少一部分,所述第二等离子体在远离所述加工室的等离子体室中产生。
2.根据权利要求1所述的方法,其中,所述第一工艺气体包括含氢气体。
3.根据权利要求2所述的方法,其中,所述第一工艺气体包括H2气体。
4.根据权利要求2所述的方法,其中,所述第一工艺气体包括CH4气体。
5.根据权利要求1所述的方法,其中,所述第一等离子体是使用所述工件支撑件中的RF偏压电极产生的电容耦合等离子体。
6.根据权利要求1所述的方法,其中,所述第二工艺气体包括含氟气体。
7.根据权利要求6所述的方法,其中,所述第二工艺气体包括NF3、SF6或CF4中的一种或多种。
8.根据权利要求1所述的方法,其中,所述第二等离子体是在所述等离子体室中产生的感应耦合等离子体,所述等离子体室通过分离栅格与所述加工室分离,所述分离栅格被配置为过滤在所述第二等离子体中产生的离子。
9.根据权利要求1所述的方法,其中,以交替方式循环地执行所述间隔件处理工艺和所述间隔件蚀刻工艺。
10.根据权利要求1所述的方法,其中,所述方法还包括在执行所述间隔件处理工艺与所述间隔件蚀刻工艺之间执行净化工艺。
11.根据权利要求10所述的方法,其中,所述净化工艺包括使惰性气体流入所述加工室。
12.根据权利要求1所述的方法,其中,所述间隔件层是SiN层。
13.根据权利要求1所述的方法,其中,所述间隔件层成形于芯轴结构上。
14.根据权利要求13所述的方法,其中,所述方法还包括在所述工件上执行芯轴去除工艺,所述芯轴去除工艺包括将所述芯轴暴露于由所述等离子体室内的第三等离子体中的第三工艺气体产生的自由基。
15.根据权利要求14所述的方法,其中,所述第三工艺气体包括O2
16.根据权利要求1所述的方法,其中,作为自对准多重图案化工艺的一部分执行所述间隔件处理工艺和所述间隔件蚀刻工艺。
17.一种用于在工件上执行自对准多重图案化工艺的方法,包括:
将工件放置在加工室中的工件支撑件上,所述加工室通过分离栅格与等离子体室分离,所述工件支撑件包括RF偏压源;
执行间隔件处理工艺,将所述工件暴露于由第一等离子体中的第一工艺气体产生的物质,以对设置在所述工件上的芯轴结构上的SiN间隔件层执行间隔件处理工艺,所述第一等离子体使用所述RF偏压源由所述第一工艺气体在所述加工室中产生;
在执行所述间隔件处理工艺之后,执行间隔件蚀刻工艺,将所述工件暴露于由第二等离子体中的第二工艺气体产生的中性自由基,以蚀刻所述工件上的所述SiN间隔件层的至少一部分,所述第二等离子体由感应耦合等离子体源在所述等离子体室中产生;
执行芯轴去除工艺,从所述工件去除所述芯轴结构,所述芯轴去除工艺将所述工件暴露于由第三等离子体中的第三工艺气体产生的中性自由基,所述第三等离子体由所述感应耦合等离子体源在所述等离子体室中产生。
18.根据权利要求17所述的方法,其中,所述方法进一步包括在执行所述间隔件处理工艺与所述间隔件蚀刻工艺之间执行净化工艺。
19.根据权利要求17所述的方法,其中,所述第一工艺气体不同于所述第二工艺气体。
20.根据权利要求17所述的方法,其中,所述第一等离子体是电容耦合等离子体,所述第二等离子体是感应耦合等离子体。
CN202080004273.7A 2019-07-03 2020-07-01 使用双等离子体的间隔件开口工艺 Active CN112714944B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962870184P 2019-07-03 2019-07-03
US62/870,184 2019-07-03
PCT/US2020/040445 WO2021003235A1 (en) 2019-07-03 2020-07-01 Spacer open process by dual plasma

Publications (2)

Publication Number Publication Date
CN112714944A true CN112714944A (zh) 2021-04-27
CN112714944B CN112714944B (zh) 2022-08-26

Family

ID=74066118

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080004273.7A Active CN112714944B (zh) 2019-07-03 2020-07-01 使用双等离子体的间隔件开口工艺

Country Status (4)

Country Link
US (1) US11195718B2 (zh)
CN (1) CN112714944B (zh)
TW (1) TW202121503A (zh)
WO (1) WO2021003235A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112786436A (zh) * 2019-11-06 2021-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113471049B (zh) 2021-06-30 2022-07-26 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091320A (ja) * 1998-09-10 2000-03-31 Foi:Kk プラズマ処理装置
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
US20160027655A1 (en) * 2014-07-24 2016-01-28 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
CN105580118A (zh) * 2013-09-16 2016-05-11 应用材料公司 氮化硅的选择性蚀刻
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
CN107636793A (zh) * 2015-03-17 2018-01-26 应用材料公司 离子对离子等离子体原子层蚀刻工艺及反应器
US20190189444A1 (en) * 2017-12-19 2019-06-20 Tokyo Electron Limited Manufacturing methods for mandrel pull from spacers for multi-color patterning

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US20150155176A1 (en) 2013-12-03 2015-06-04 Lam Research Corporation Sidewall height nonuniformity reduction for sidewall image transfer processes
US9812325B2 (en) 2015-09-03 2017-11-07 Tokyo Electron Limited Method for modifying spacer profile
US9818621B2 (en) 2016-02-22 2017-11-14 Applied Materials, Inc. Cyclic oxide spacer etch process
KR20190038945A (ko) 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
WO2018044727A1 (en) 2016-08-29 2018-03-08 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
KR102537097B1 (ko) 2017-02-23 2023-05-25 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 유사 원자층 에칭 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091320A (ja) * 1998-09-10 2000-03-31 Foi:Kk プラズマ処理装置
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
CN105580118A (zh) * 2013-09-16 2016-05-11 应用材料公司 氮化硅的选择性蚀刻
US20160027655A1 (en) * 2014-07-24 2016-01-28 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
CN107636793A (zh) * 2015-03-17 2018-01-26 应用材料公司 离子对离子等离子体原子层蚀刻工艺及反应器
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9721807B2 (en) * 2015-03-30 2017-08-01 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US20190189444A1 (en) * 2017-12-19 2019-06-20 Tokyo Electron Limited Manufacturing methods for mandrel pull from spacers for multi-color patterning

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
成立等: "深亚微米/纳米CMOS器件离子蚀刻新技术", 《半导体技术》 *

Also Published As

Publication number Publication date
TW202121503A (zh) 2021-06-01
CN112714944B (zh) 2022-08-26
US20210005456A1 (en) 2021-01-07
US11195718B2 (en) 2021-12-07
WO2021003235A1 (en) 2021-01-07

Similar Documents

Publication Publication Date Title
TWI652840B (zh) 被處理體之處理方法
CN112771650B (zh) 间隔物刻蚀工艺
US11387115B2 (en) Silicon mandrel etch after native oxide punch-through
TW201633017A (zh) 被處理體之處理方法
CN112714944B (zh) 使用双等离子体的间隔件开口工艺
US11495469B2 (en) Method for processing substrates
CN112368805A (zh) 使用含硫工艺气体的含碳硬掩模去除工艺
TW201340203A (zh) 半導體裝置之製造方法
US11257680B2 (en) Methods for processing a workpiece using fluorine radicals
KR102594444B1 (ko) 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
KR20190030182A (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
US20200373129A1 (en) Surface Pretreatment Process To Improve Quality Of Oxide Films Produced By Remote Plasma
CN116130356A (zh) 使用沉积工艺和刻蚀工艺的工件处理
US20220093406A1 (en) Method and apparatus for processing a substrate
KR102638422B1 (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
US11107695B2 (en) Surface smoothing of workpieces
KR102448699B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법
US20210202231A1 (en) Systems and Methods for Removal of Hardmask

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant