CN112563189A - Manufacturing method of compressive stress GOI - Google Patents

Manufacturing method of compressive stress GOI Download PDF

Info

Publication number
CN112563189A
CN112563189A CN202011269591.4A CN202011269591A CN112563189A CN 112563189 A CN112563189 A CN 112563189A CN 202011269591 A CN202011269591 A CN 202011269591A CN 112563189 A CN112563189 A CN 112563189A
Authority
CN
China
Prior art keywords
layer
oxide layer
silicon oxide
substrate
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011269591.4A
Other languages
Chinese (zh)
Inventor
亨利·H·阿达姆松
杜勇
王桂磊
孔真真
徐步青
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangdong Greater Bay Area Institute of Integrated Circuit and System
Original Assignee
Guangdong Greater Bay Area Institute of Integrated Circuit and System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangdong Greater Bay Area Institute of Integrated Circuit and System filed Critical Guangdong Greater Bay Area Institute of Integrated Circuit and System
Priority to CN202011269591.4A priority Critical patent/CN112563189A/en
Publication of CN112563189A publication Critical patent/CN112563189A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

The invention relates to a manufacturing method of compressive stress GOI. A manufacturing method of compressive stress GOI comprises the following steps: step a: forming a silicon oxide layer on a first substrate, then carrying out patterned etching on the silicon oxide layer to form a plurality of channels, wherein the channels penetrate through the silicon oxide layer; step b: selectively and epitaxially growing a second germanium layer on the surface of the patterned silicon oxide layer, and then carrying out chemical mechanical polishing; step c: repeating the steps a to b for zero times or at least one time, then bonding with a second substrate by taking the final germanium layer as a bonding interface, etching until only the second substrate and the final germanium layer are remained, and flattening. The invention utilizes the channel to effectively inhibit the interface defect caused by the germanium Ge crystal lattice surface defect, repeats the channel formation and the selective Ge epitaxy method, and can also minimize the defect density of Ge.

Description

Manufacturing method of compressive stress GOI
Technical Field
The invention relates to the field of semiconductor production processes, in particular to a manufacturing method of compressive stress GOI.
Background
Germanium-On-Insulator (GOI) combines the advantages of Ge and SOI structures, and has become an ideal material for fabricating p-channel MOSFETs and MSM (Metal-Semiconductor-Metal) photodetectors. GOI is structurally similar to SOI with the introduction of a buried oxide layer between the top Ge and the backing substrate. Due to the introduction of the oxide layer, the GOI has the advantages of bulk germanium, the oxide layer can play a role in dielectric isolation of the device, and parasitic capacitance of the device can be reduced.
The manufacturing method of the traditional GOI comprises the following steps: the Ge film is directly grown on the substrate, the lattice mismatch is very large (up to 4.2 percent), the defects are many, and the prepared photoelectric device has large dark current and large noise. And the lattice mismatch is large, the defect distribution of the prepared 8-inch Ge epitaxial layer is wide, the preparation of large-scale photoelectric devices cannot be met, and the yield of the devices is low.
The invention is therefore proposed.
Disclosure of Invention
The invention mainly aims to provide a manufacturing method of compressive stress GOI, which utilizes a channel to effectively inhibit interface defects caused by germanium Ge crystal lattice surface defects, repeats a channel forming and selective Ge epitaxial method and can also minimize the Ge defect density.
In order to achieve the above object, the present invention provides the following technical solutions.
A manufacturing method of compressive stress GOI comprises the following steps:
step a: forming a silicon oxide layer on a first substrate, then carrying out patterned etching on the silicon oxide layer to form a plurality of channels, wherein the channels penetrate through the silicon oxide layer;
step b: selectively and epitaxially growing a second germanium layer on the surface of the patterned silicon oxide layer, and then carrying out chemical mechanical polishing;
step c: repeating the steps a to b for zero times or at least one time, then bonding with a second substrate by taking the final germanium layer as a bonding interface, etching until only the second substrate and the final germanium layer are remained, and flattening; the second substrate is a silicon wafer deposited with a buried oxide layer, and the buried oxide layer is used as a bonding interface during bonding;
if the steps a to b are repeated zero times in the step c, before the step a of forming the silicon oxide layer, the method further comprises: a first layer of germanium is first deposited on a first substrate and chemically mechanically polished until the first layer of germanium is in a relaxed state.
Compared with the prior art, the invention achieves the following technical effects:
(1) the silicon oxide is used for forming a channel, and the channel can effectively inhibit interface defects caused by germanium Ge crystal lattice surface defects, so that a compressive stress type GOI structure is obtained, and the yield of devices is improved;
(2) repeating the channel formation and selective epitaxy of Ge multiple times may also minimize the defect density of Ge.
Drawings
Various other advantages and benefits will become apparent to those of ordinary skill in the art upon reading the following detailed description of the preferred embodiments. The drawings are only for purposes of illustrating the preferred embodiments and are not to be construed as limiting the invention.
FIGS. 1 to 6 are schematic diagrams of steps in a GOI structure manufacturing process according to the present invention;
FIG. 7 is a topographical view of another GOI structure provided in the present invention during fabrication;
FIGS. 8-15 are topographical views of various steps in another GOI structure fabrication process provided by the present invention;
FIG. 16 is a topographical view of another GOI structure provided by the present invention during fabrication.
Detailed Description
Hereinafter, embodiments of the present disclosure will be described with reference to the accompanying drawings. It should be understood that the description is illustrative only and is not intended to limit the scope of the present disclosure. Moreover, in the following description, descriptions of well-known structures and techniques are omitted so as to not unnecessarily obscure the concepts of the present disclosure.
Various structural schematics according to embodiments of the present disclosure are shown in the figures. The figures are not drawn to scale, wherein certain details are exaggerated and possibly omitted for clarity of presentation. The shapes of various regions, layers, and relative sizes and positional relationships therebetween shown in the drawings are merely exemplary, and deviations may occur in practice due to manufacturing tolerances or technical limitations, and a person skilled in the art may additionally design regions/layers having different shapes, sizes, relative positions, as actually required.
In the context of the present disclosure, when a layer/element is referred to as being "on" another layer/element, it can be directly on the other layer/element or intervening layers/elements may be present. In addition, if a layer/element is "on" another layer/element in one orientation, then that layer/element may be "under" the other layer/element when the orientation is reversed.
The invention inhibits interface defects caused by germanium Ge crystal lattice surface defects by Selectively Epitaxially Growing (SEG) germanium on a channel, and the specific process is as follows:
step a: forming a silicon oxide layer on a first substrate, then carrying out patterned etching on the silicon oxide layer to form a plurality of channels, wherein the channels penetrate through the silicon oxide layer;
step b: selectively and epitaxially growing a second germanium layer on the surface of the patterned silicon oxide layer, and then carrying out chemical mechanical polishing;
step c: repeating the steps a to b for zero times or at least one time, then bonding with a second substrate by taking the final germanium layer as a bonding interface, etching until only the second substrate and the final germanium layer are remained, and flattening; the second substrate is a silicon wafer with a front surface deposited with a buried oxide layer, and the buried oxide layer is used as a bonding interface during bonding;
if the steps a to b are repeated zero times in the step c, before the step a of forming the silicon oxide layer, the method further comprises: a first layer of germanium is first deposited on a first substrate and chemically mechanically polished until the first layer of germanium is in a relaxed state.
In the above method, the first substrate may be any substrate known to those skilled in the art for supporting semiconductor integrated circuit components, such as silicon-on-insulator (SOI), silicon wafer, germanium, silicon-germanium, gallium arsenide, or germanium-on-insulator; since the first substrate is used as a sacrificial layer, a silicon wafer having high cost performance is preferably selected.
The silicon oxide layer to be patterned may be formed by a thermal oxidation (dry or wet oxygen) process, chemical vapor deposition, atomic layer deposition, or the like.
The patterning etching means of the silicon oxide layer may be dry method, wet method or plasma, etc., and is performed in combination with a photoresist mask, and the plurality of trenches are preferably arranged regularly, for example, symmetrically at equal intervals, etc. The width between the channels is adjusted as desired.
The goal of chemical mechanical polishing is primarily to bring the germanium layer to a relaxed state, typically within 9 seconds.
The buried oxide layer in the second substrate is silicon oxide SiO2Etc. insulating material.
The number of times said steps a to b are repeated in step c is generally determined according to the following principle: repeating until the defect density of the last germanium layer is no longer reduced. In the process of repeating the steps a to b, the channel formed in the step a and the channel formed in the previous step a are arranged in a staggered mode, so that the defect in the channel can be prevented from extending to the bottom of the upper epitaxial layer, and the quality of the upper germanium film can be greatly improved.
In addition, the addition of the first germanium layer is more beneficial to inhibiting interface defects caused by surface defects of germanium Ge crystal lattices. The first germanium layer may be formed by any means including, but not limited to, typical global epitaxy and selective epitaxy.
One embodiment of the present invention is as follows.
Example 1
The process flow of the morphology change shown in fig. 1 to 6 is as follows:
in a first step, a first germanium layer 102 is deposited on a silicon wafer 101 and then CMP is performed until it is in a relaxed state, as shown in the topography of fig. 1.
Secondly, a silicon oxide layer 103 is deposited by PECVD means, as shown in the figure 2.
Third, the silicon oxide layer is patterned to form a plurality of trenches 104, as shown in fig. 3.
Fourth, second germanium layer 105 is selectively epitaxially grown on the patterned silicon oxide layer surface, followed by CMP, as shown in the topography of fig. 4.
And fifthly, selecting another silicon wafer with a silicon oxide layer on the surface as a second substrate 106, bonding the silicon oxide layer with the semiconductor structure formed in the previous step by using the silicon oxide layer as a bonding interface, and taking the second germanium layer of the semiconductor structure in the fourth step as a bonding interface, wherein the shape is shown in fig. 5.
Sixthly, etching to remove the sacrificial layer: etching to leave only the second substrate and the final germanium layer, and planarizing to obtain the GOI structure, such as the topography shown in fig. 6.
It is also possible to repeat the second through fourth steps one or more times before the fifth bonding step until the defect density of the final germanium layer is no longer reduced, and the invention also includes these embodiments with a preferred staggered arrangement between the channels of adjacent layers. For example, when the process is repeated 1 time, the profile shown in fig. 7 is formed, and then the sacrificial layer is bonded to the second substrate and removed.
Another embodiment of the present invention is as follows.
Example 2
The process flow for the profile variation shown in fig. 8 to 15 is as follows:
in the first step, a silicon oxide layer 202 is deposited on a silicon wafer 201 by PECVD, followed by CMP, as shown in fig. 8.
In the second step, the silicon oxide layer is patterned to form a plurality of first trenches 203, as shown in fig. 9.
Third, a first layer of germanium 204 is selectively epitaxially grown on the patterned silicon oxide layer and then CMP is performed until it is in a relaxed state, as shown in FIG. 10.
And fourthly, repeating the first step to the third step, and sequentially forming a second layer of silicon oxide 205 (with the morphology shown in FIG. 11), forming a second layer of channels 206 (with the morphology shown in FIG. 12, the second layer of channels and the first layer of channels are arranged in a staggered mode), and extending a second layer of germanium 207 (with the morphology shown in FIG. 13).
And fifthly, selecting another silicon wafer with a silicon oxide layer on the surface as a second substrate 208, bonding the silicon oxide layer with the semiconductor structure formed in the previous step by using the silicon oxide layer as a bonding interface, and taking the final germanium layer in the semiconductor structure in the fourth step as the bonding interface, wherein the shape is shown in fig. 14.
Sixthly, etching to remove the sacrificial layer: etching to leave only the second substrate and the final germanium layer and planarizing to obtain the GOI structure, the topography shown in fig. 15.
The invention may also include in the fourth step, from the first step to the third step, a plurality of times until the defect density of the final germanium layer is no longer reduced, these embodiments being included in the invention, and preferably with a staggered arrangement between the channels of adjacent layers. For example, when the process is repeated 1 time, the profile shown in fig. 16 is formed, and then the sacrificial layer is bonded to the second substrate and removed.
The embodiments of the present disclosure have been described above. However, these examples are for illustrative purposes only and are not intended to limit the scope of the present disclosure. The scope of the disclosure is defined by the appended claims and equivalents thereof. Various alternatives and modifications can be devised by those skilled in the art without departing from the scope of the present disclosure, and such alternatives and modifications are intended to be within the scope of the present disclosure.

Claims (10)

1. A manufacturing method of compressive stress GOI is characterized by comprising the following steps:
step a: forming a silicon oxide layer on a first substrate, then carrying out patterned etching on the silicon oxide layer to form a plurality of channels, wherein the channels penetrate through the silicon oxide layer;
step b: selectively and epitaxially growing a second germanium layer on the surface of the patterned silicon oxide layer, and then carrying out chemical mechanical polishing;
step c: repeating the steps a to b for zero times or at least one time, then bonding with a second substrate by taking the final germanium layer as a bonding interface, etching until only the second substrate and the final germanium layer are remained, and flattening; the second substrate is a silicon wafer deposited with a buried oxide layer, and the buried oxide layer is used as a bonding interface during bonding;
if the steps a to b are repeated zero times in the step c, before the step a of forming the silicon oxide layer, the method further comprises: a first layer of germanium is first deposited on a first substrate and chemically mechanically polished until the first layer of germanium is in a relaxed state.
2. Method for manufacturing a compressively stressed GOI according to claim 1, wherein the deposition method of the first germanium layer is global epitaxy and selective epitaxy.
3. A method for making a compressive stressed GOI as claimed in claim 1, wherein the chemical mechanical polishing in step b is: polishing until the second germanium layer is in a relaxed state.
4. A method of making a compressively stressed GOI as claimed in claim 3, wherein the duration of the chemical mechanical polishing is within 9 seconds.
5. A method of making a compressive stressed GOI as claimed in claim 1, wherein the steps a to b are repeated for the following number of times: repeating until the defect density of the last germanium layer is no longer reduced.
6. The method according to claim 1, wherein during the repetition of the steps a to b, the channel formed in step a is staggered with the channel formed in the previous step a.
7. The method according to claim 1, wherein in step a, the plurality of trenches are equally spaced.
8. The method of claim 1, wherein the buried oxide layer is silicon oxide.
9. The method according to claim 1, wherein the first substrate is a silicon wafer.
10. The method of claim 1, wherein the silicon oxide layer is formed on the first substrate by PECVD.
CN202011269591.4A 2020-11-13 2020-11-13 Manufacturing method of compressive stress GOI Pending CN112563189A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011269591.4A CN112563189A (en) 2020-11-13 2020-11-13 Manufacturing method of compressive stress GOI

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011269591.4A CN112563189A (en) 2020-11-13 2020-11-13 Manufacturing method of compressive stress GOI

Publications (1)

Publication Number Publication Date
CN112563189A true CN112563189A (en) 2021-03-26

Family

ID=75042289

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011269591.4A Pending CN112563189A (en) 2020-11-13 2020-11-13 Manufacturing method of compressive stress GOI

Country Status (1)

Country Link
CN (1) CN112563189A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113314396A (en) * 2021-04-16 2021-08-27 中国科学院微电子研究所 Semiconductor substrate and preparation method of semiconductor structure
CN113314395A (en) * 2021-04-16 2021-08-27 中国科学院微电子研究所 Semiconductor substrate and preparation method of semiconductor structure
CN113314394A (en) * 2021-04-16 2021-08-27 中国科学院微电子研究所 Semiconductor substrate and preparation method of semiconductor structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102157432A (en) * 2011-01-24 2011-08-17 清华大学 GeOI structure and formation method thereof
CN102201364A (en) * 2011-05-26 2011-09-28 北京大学 Method for preparing germanium-on-insulator (GeOI) substrate
US20170271201A1 (en) * 2014-05-23 2017-09-21 Massachusetts Institute Of Technology Method of manufacturing a germanium-on-insulator substrate
CN111668090A (en) * 2020-07-31 2020-09-15 广东省大湾区集成电路与***应用研究院 Semiconductor structure and manufacturing method thereof
CN111681951A (en) * 2020-07-31 2020-09-18 广东省大湾区集成电路与***应用研究院 Semiconductor structure and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102157432A (en) * 2011-01-24 2011-08-17 清华大学 GeOI structure and formation method thereof
CN102201364A (en) * 2011-05-26 2011-09-28 北京大学 Method for preparing germanium-on-insulator (GeOI) substrate
US20170271201A1 (en) * 2014-05-23 2017-09-21 Massachusetts Institute Of Technology Method of manufacturing a germanium-on-insulator substrate
CN111668090A (en) * 2020-07-31 2020-09-15 广东省大湾区集成电路与***应用研究院 Semiconductor structure and manufacturing method thereof
CN111681951A (en) * 2020-07-31 2020-09-18 广东省大湾区集成电路与***应用研究院 Semiconductor structure and manufacturing method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113314396A (en) * 2021-04-16 2021-08-27 中国科学院微电子研究所 Semiconductor substrate and preparation method of semiconductor structure
CN113314395A (en) * 2021-04-16 2021-08-27 中国科学院微电子研究所 Semiconductor substrate and preparation method of semiconductor structure
CN113314394A (en) * 2021-04-16 2021-08-27 中国科学院微电子研究所 Semiconductor substrate and preparation method of semiconductor structure

Similar Documents

Publication Publication Date Title
CN112563189A (en) Manufacturing method of compressive stress GOI
US7928436B2 (en) Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
CN106910716B (en) Method of fabricating Si-based high mobility CMOS devices and resulting devices
US9520285B2 (en) Silicon carbide epitaxy
US8759169B2 (en) Method for producing silicon semiconductor wafers comprising a layer for integrating III-V semiconductor components
JP7074393B2 (en) Methods and Related Semiconductor Structures for Fabricating Semiconductor Structures Containing Fin Structures with Different Strained States
JP6207170B2 (en) Mask structure and method for defect-free heteroepitaxial
US9245965B2 (en) Uniform finFET gate height
CN102388448A (en) Formation of thin layers of semiconductor materials
US9870940B2 (en) Methods of forming nanosheets on lattice mismatched substrates
TW201344758A (en) Semiconductor device and method for manufacturing same
US8507332B2 (en) Method for manufacturing components
CN102107852B (en) Semiconductor nano-structure, manufacturing method and application thereof
US7749817B2 (en) Single-crystal layer on a dielectric layer
CN101944538B (en) Semiconductor structures and manufacturing method thereof
CN111668090B (en) Semiconductor structure and manufacturing method thereof
KR100596093B1 (en) A method of fabricating SOI wafer
CN100477153C (en) Shallow trench isolation method and structure
US20120168823A1 (en) Semiconductor device and method for manufacturing the same
US7507643B2 (en) Method for manufacturing semiconductor substrate, method for manufacturing semiconductor device, and semiconductor device
KR20140040624A (en) Method for producing heteroepitaxial single crystal, method for manufacturing heterojunction solar battery, hetero epitaxial single crystal, heterojunction solar battery
US8932942B2 (en) Method of forming an electrical contact between a support wafer and the surface of a top silicon layer of a silicon-on-insulator wafer and an electrical device including such an electrical contact
US7625784B2 (en) Semiconductor device and method for manufacturing thereof
KR101547535B1 (en) manufacturing method of multi semiconductor epi-layer on SOI(001) substrate
US8921208B1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination