CN111433902A - 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头 - Google Patents

向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头 Download PDF

Info

Publication number
CN111433902A
CN111433902A CN201880079245.4A CN201880079245A CN111433902A CN 111433902 A CN111433902 A CN 111433902A CN 201880079245 A CN201880079245 A CN 201880079245A CN 111433902 A CN111433902 A CN 111433902A
Authority
CN
China
Prior art keywords
holes
showerhead
sets
spray head
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880079245.4A
Other languages
English (en)
Inventor
雷切尔·巴策尔
桂喆
加尔博卡·赫瓦格·拉扬·萨维特拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111433902A publication Critical patent/CN111433902A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于衬底处理***的喷头包括:下表面;面向等离子体的上表面;气体分配腔,其限定在所述下表面和所述上表面之间;以及多个注射器,其分布在所述下表面上,其中所述多个注射器与所述气体分配腔流体连通。多个通孔从所述上表面延伸到所述下表面。所述多个通孔中的选定通孔的直径与所述多个通孔中的其余通孔的直径不同。所述多个通孔中的所述选定通孔的直径根据经由所述多个通孔中的所述选定通孔和所述多个通孔中的所述其余通孔提供的各自的气体的期望比率来预定。

Description

向下游室传送自由基和前体气体以实现远程等离子体膜沉积 的有改进的孔图案的集成喷头
相关申请的交叉引用
本申请要求于2018年12月7日提交的美国实用专利申请No.16/213,386的优先权,并且还要求于2017年12月8日提交的美国临时申请No.62/596,409的优先权。本公开与2016年12月14日提交的共同转让的美国专利申请序列No.15/378,854有关。以上引用的申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理***,更具体地涉及包括向下游室传送自由基和前体气体的喷头的衬底处理***。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理***可用于在诸如半导体晶片之类的衬底上沉积膜。衬底处理***通常包括处理室和衬底支撑件。在膜沉积期间,将自由基和前体气体供应到处理室。
例如,处理室可包括上室、下室和衬底支撑件。喷头可以布置在上室和下室之间。衬底布置在下室中的衬底支撑件上。将等离子体气体混合物供应到上室并且在上室中激励等离子体。等离子体产生的自由基中的一些通过喷头流到下室。喷头过滤离子并屏蔽紫外线以防止其到达下室。前体气体混合物通过喷头供应到下室,并与自由基反应以在衬底上沉积膜。
发明内容
一种用于衬底处理***的喷头包括:下表面;面向等离子体的上表面;气体分配腔,其限定在所述下表面和所述上表面之间;以及多个注射器,其分布在所述下表面上,其中所述多个注射器与所述气体分配腔流体连通。多个通孔从所述上表面延伸到所述下表面。所述多个通孔中的选定通孔的直径与所述多个通孔中的其余通孔的直径不同。所述多个通孔中的所述选定通孔的直径根据经由所述多个通孔中的所述选定通孔和所述多个通孔中的所述其余通孔提供的各自的气体的期望比率来预定。
在其他特征中,所述多个通孔中的所述选定通孔包括第一类型的通孔,所述第一类型的通孔的平均直径和所述多个通孔中的所述其余通孔的平均直径满足预定比率关系。所述多个通孔中的所述选定通孔包括至少第一类型的通孔和第二类型的通孔,所述第一类型的通孔和所述多个通孔中的所述其余通孔的平均直径满足第一预定比率关系,而所述第二类型的通孔和所述多个通孔中的所述其余通孔的平均直径满足第二预定比率关系。所述多个通孔中的所述选定通孔的所述直径根据与喷头相关的沉积不均匀性而预定。
在其他特征中,在所述喷头的所述下表面上,所述通孔以多组布置,每组包括所述通孔中的围绕所述多个注射器中的相应一个分布的两个或更多个。在所述喷头的所述下表面上,所述通孔以多组布置,每组包括所述通孔中的围绕所述多个注射器中的相应一个分布的三个。所述多个组中的每组中的所述通孔中的所述三个以三角形构造围绕所述多个注射器中的所述相应一个分布。所述多个组中的每组中的所述通孔中的所述三个围绕所述多个注射器中的所述相应一个径向地分布。
在其他特征中,所述多个通孔包括通孔的至少一个中心组和围绕所述至少一个中心组以第一六边形图案布置的所述通孔的第一多组。所述通孔的第二多组以第二六边形图案围绕所述第一多组布置。所述多个通孔包括至少一个中心组和围绕所述至少一个中心组以第一圆形图案布置的所述通孔的第一多组。所述通孔的至少一个第二多组以第二圆形图案围绕所述第一多组布置。
在其他特征中,所述多个通孔包括所述通孔的多组,所述通孔的所述多组包括至少一个中心组,并且所述多组中的其余组围绕所述至少一个中心组以6组图案递增的方式布置。所述多个通孔包括所述通孔的多组,所述通孔的所述多组包括至少一个中心组,并且所述多组中的其余组围绕所述至少一个中心组以8组图案递增的方式布置。多个气体注射器喷嘴从所述注射器中的相应的注射器向下延伸。所述多个通孔包括85组所述通孔。
根据具体实施方式、权利要求和附图,本公开的其他适用领域将变得显而易见。具体实施方式和具体示例仅旨在用于说明的目的,并不旨在限制本公开的范围。
附图说明
根据具体实施方式和附图将更充分地理解本公开,其中:
图1是根据本公开的包括喷头的衬底处理室的示例的功能框图。
图2是根据本公开的喷头的示例的顶部透视图;
图3是根据本公开的喷头的示例的底部透视图;
图4是根据本公开的喷头的示例的平面图;
图5示出了根据本公开的另一示例性喷头的仰视图;以及
图6A、图6B和图6C示出了根据本公开的另一示例性孔图案。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
通常,衬底处理***中的喷头没有热控制***。然而,在一些处理***中,使用基本热控制***来控制喷头的外边缘的温度,该外边缘可接近并且不是在真空下。由于来自等离子体的热量,基本热控制***不能均匀地控制整个喷头上的温度。换句话说,喷头中心的温度升高。随着例如等离子体开/关、压力、流速和/或基座温度等工艺变化,温度也会发生变化。喷头温度的变化不利地影响沉积工艺的均匀性和缺陷性能。喷头中的通孔和注射器的位置、布置和大小也可能会对沉积均匀性产生不利影响。
根据本公开的喷头包括预定图案的前体注射器和用于自由基的通孔。通孔的图案和尺寸会影响晶片上的沉积速率和分布。喷头通常是圆形的,以匹配要处理的衬底的形状。通常很难将前体注射器和通孔均匀地布置在喷头的所有期望的位置。前体注射器和用于自由基的通孔的标准图案往往会在方位角和/或径向方向上产生不均匀的沉积图案。
本公开涉及一种衬底处理***,该衬底处理***包括喷头,该喷头包括预定图案和尺寸的前体注射器和用于自由基的通孔,以提供更均匀的沉积性能。在一些示例中,本文公开的布置和尺寸变化将喷头引起的沉积变化减小多达约50%。
喷头通过穿过喷头的中心部分向通道供应传热流体来提供均匀的温度控制,以保持均匀和受控的温度。喷头还向包括衬底的室提供均匀的前体气流输送。在一些示例中,衬底处理***可用于沉积保形碳化物膜,但是也可以沉积其他类型的膜。
现在参考图1,衬底处理***10包括上室20和下室30。虽然本文示出并描述了特定类型的衬底处理***,但是也可以使用其他类型和/或布置的衬底处理***。虽然示出了电感耦合等离子体,但是也可以使用其他类型的等离子体产生,例如电容耦合等离子体、远程等离子体源或其他合适的等离子体发生器。
在一些示例中,上室20可以包括圆顶形室,但是也可以使用其他室形状。衬底支撑件34布置在下室30中。衬底36在衬底处理期间布置在衬底支撑件34上。喷头40布置在上室20和下室30之间。感应线圈42可以布置在上室20周围。喷头40限定了传热分配腔(传热分配腔的一个示例在图6中示出)以冷却喷头和气体分配腔(该气体分配腔的一个示例在图7中示出),以将前体气体输送到下室30。
气体输送***50-1可用于将包含等离子体气体的工艺气体混合物供应到上室20。气体输送***50-1包括一个或多个气体源52-1、52-2、...、和52-N,阀54-1、...、和54-N,质量流量控制器(MFC)56-1、...、和56-N,以及歧管58,但也可以使用其他类型的气体输送***(其中N是整数)。气体输送***50-2将包含前体气体的工艺气体混合物输送到喷头40。
RF等离子体发生器66包括RF源70和匹配网络72。RF等离子体发生器66选择性地向感应线圈42提供RF功率(同时供应等离子体气体)以在上室20中产生等离子体62。
热控制***86可用于将诸如气体或液体冷却剂的传热流体供应到喷头40以控制喷头40的温度。阀88和泵90可用于将反应物抽真空。
控制器94与气体输送***50-1和50-2通信,以根据需要选择性地将处理气体供应到上室20和喷头40。控制器94与RF等离子体发生器66通信以产生和熄灭上室20中的等离子体。
控制器94与热控制***86通信以控制传热流体的流速和温度。传热流体用于控制喷头40的温度。在一些示例中,传热流体可包括水、与乙二醇混合的水、全氟聚醚氟化流体或其他流体和/或一种或多种气体。在一些示例中,热控制***86使用闭环控制***来控制传热流体的流速或温度。在其他示例中,热控制***86使用比例积分微分(PID)控制来控制流速和温度。传热流体可以在水循环***的开环***中提供。在一些示例中,传热流体与真空室通过气密方式隔离开。
在一些示例中,控制器94可以连接到布置在喷头40中用于感测喷头40的一种或多种温度的一个或多个温度传感器(未示出)。在一些示例中,控制器94可以连接到布置在喷头40中用于感测处理室中的一种或多种压力的一个或多个压力传感器(未示出)。控制器94与阀88以及泵90通信,以控制上室20和下室30内的压力,并选择性地从其中排出反应物。
现在参照图2和图3,分别示出了喷头40的上表面190和下表面194的透视图。喷头40包括具有多个通孔204的面向等离子体的表面202。在一些示例中,面向等离子体的表面202是圆形的,但是可以使用其他形状。在一些示例中,面向等离子体的表面202具有约400mm的直径,但是可以使用其他直径。
在一些示例中,通孔204从喷头40的上表面190延伸到喷头的下表面194。在一些示例中,通孔204被布置成组208-1、208-2,...和208-T(统称为通孔组208),其中每组包括R个通孔,其中R和T是大于1的整数。在其他示例中,R为1。在该示例中,通孔组208中的每组包括以三角形构造布置的三个通孔204,但是可以使用其他形状和数量的通孔。在一些示例中,通孔组208以六边形图案布置,这在图4中最佳地看到。在基于三角形的图案中,通孔可以以半隔开的三角形图案布置或围绕每个注射器径向布置。在另一示例性图案中,通孔沿径向布置在每个注射器周围(例如,以基于圆形的图案布置)。
将前体气体供应到位于喷头40内部的气体分配腔(未示出)。该前体气体通过多个注射器244离开气体分配腔。在一些示例中,多个注射器244中的每个包括节流孔。在一些示例中,通孔组208中的每组包括多个注射器244中的至少一个。组208中的给定组中的通孔204中的每个更接近于注射器244中的相关联的一个(即,组208聚集所围绕的注射器),而不是注射器244中的任何其他注射器。如图3所示,气体注射器喷嘴248可以布置在注射器244中的相应一个上。喷嘴248从注射器244向下延伸。尽管出于示例目的仅示出了喷嘴248中的若干个,但是每个注射器244可以具有喷嘴248中的相应的一个。
喷头40可以由连接在一起的多层制成。可以添加更多层以创建额外的分配腔。在一些示例中,喷头40可以使用真空钎焊、钨惰性气体(TIG)焊接或电子束焊接来制造,以便以合理的成本实现复杂且独特的几何形状。真空钎焊连接允许将喷头加工成平板,凹槽切入在板中,每个板之间有钎焊层。焊接技术需要更复杂的子部件,以便能进入所有需要密封的区域进行焊接。可以加工柱和相应的孔以将密封区域提升到可以进行焊接的部件的表面。
现在参考图4,通孔204中的选定通孔具有尺寸不同的直径,以改变/调节自由基的输送。没有标记为A、B或C的每个通孔204是标称类型的并且具有标称直径尺寸,这将在下面进一步描述。标记为类型A,B或C的通孔204的直径尺寸与标称直径尺寸不同,这将在下面进一步描述。
在一些示例中,对于类型A、B和C,平均尺寸遵循预定比率(Davg_typeX)4/(Davg_nom)4=比率+/-0.01”,其中Davg_typeX是类型X的平均直径(在我们的示例中可以是A或B或C),Davg_nom是标称类型的平均直径。在一些示例中,类型A的比率在1.3至1.6的范围内。在一些示例中,类型B的比率在0.8至1.0的范围内。在一些示例中,类型C的比率在1.0至1.2的范围内。可以理解,孔尺寸的其他组合可以实现相同的整体喷头流量分布。前述示例纠正了圆形室中三角形图案的方位角不均匀性。孔尺寸比率也可以被径向调节以改变在衬底上的沉积的径向均匀性。例如,对于构造成经由喷头40提供两种或更多种不同气体的衬底处理***,如上所述,调节与不同气体相对应的孔尺寸比率,从而改变从喷头流出的气体量和进入处理室的气体量之间的比率。以此方式,可以调节孔尺寸比率以调节特定区域中的反应物比率并校正晶片上的不均匀性。在一些示例中,可以改变注射器244(和/或各个喷嘴248)的直径以便以类似的方式调节气体流量比率。但是,由于通孔204的数量比注射器244的数量大得多,所以改变通孔204的直径有助于更精细地调节气体流量比率。
在图4的示例中,总共有85个注射器244和相应数量的通孔204组。位于面向等离子体的表面202的径向内部252中的一些通孔204是C型。位于面向等离子体的表面202的径向外部254中的一些通孔204是类型A和类型B。在一些示例中,将通孔204中的9个选择为类型C。在一些示例中,将通孔204中的36个选择为类型B。在一些示例中,通孔204中的六个选择为类型A。在一些示例中,六个60度饼形切片250中的每一个都布置了6个类型B通孔和1个类型A通孔。
气体注射器布置在带有中心注射器的圆形孔口中,并围绕中心注射器重复图案。在图4中,单个中心组256在相应的同心六边形区域中被6、12、18、24和24组包围,总共有85组。例如,如图4所示,组256在第一六边形区域258中被6个三角形组包围。第二六边形区域260包括12个三角形组。第三六边形区域262包括18个三角形组。第四六边形区域264和第五六边形区域266各自包括24个三角形组。虽然气体注射器周围的通孔的图案包括85组通孔,但是该图案可以以6组的增量进行更改(即,递增6组的图案,其中每个连续的周围区域比相邻的内部区域多6组)。替代图案包括以6或8组图案递增的径向图案。以6组图案递增的径向图案包括61、91、127或169个注射器。以8组图案递增的径向图案包括81、121或169个注射器。在一些示例中,标称类型的尺寸为0.06英寸至0.40英寸。标称孔直径可以取决于流量、气体和压力条件所需的压降,以使得能够通过通孔204控制流量分布而不受其他室几何形状的支配。孔的直径还可以取决于期望的流率、压力和气体种类,以防止前驱气体向后扩散到上室20中。
图5示出了根据本公开的喷头500的示例性仰视图。在该示例中,通孔504以基于三角形的图案布置在围绕各个注射器512聚集的组508中。中心组516分别被组508中的6个组,组508中的12个组,组508中的18个组和组508中的24个组构成的连续六边形环包围。在该示例中,组508构成的最外面的六角环还包括不与聚集在注射器512中的相应的一个周围的组508中的特定一个相关联的另外的通孔540。相反,通孔540布置在最外面的六边形环中的组508的图案的间隙中。尽管被称为“环”,但是组508以连续的六边形图案布置,类似于图4所示的方式。
类似于图4中描述的示例,通孔504和540中的选定通孔具有尺寸不同的直径,以改变/调节自由基的输送,如图5所示。在此示例中,未标记为A、B、C、D、E、F(如图5中连接相应的通孔的轮廓A-F中的各个通孔所示)或G的通孔504和540中的每一个为标称类型,并具有标称直径尺寸,这将在下面进一步描述。标记为类型A、B或C的通孔504或540具有与标称直径尺寸不同的直径尺寸,这将在下面进一步描述。
在一些示例中,对于类型A、B、C、D、E、F和G,平均尺寸遵循预定比率(Davg_typeX)4/(Davg_nom)4=比率+/-0.01”,其中Davg_typeX为类型X(在我们的示例中,其可以是A、B、C、D、E、F或G)的平均直径,而Davg_nom是标称类型的平均直径。在一些示例中,类型A的比率在1.3至1.6的范围内。在一些示例中,类型B的比率在1.1至1.4的范围内。在一些示例中,类型C的比率在1.1至1.4的范围内。在一些示例中,类型D的比率在1.1至1.4的范围内。在一些示例中,类型E的比率在1.2至1.5的范围内。在一些示例中,类型F的比率在0.7至1.0的范围内。在一些示例中,类型G的比率在0.7至1.0的范围内。
如可以理解的,孔尺寸的其他组合可以实现相同的整体喷头流量分布。孔尺寸比率也可以被径向调节以改变在衬底上的沉积的径向均匀性。以此方式,可以调节孔尺寸比率以调节特定区域中的反应物比率并校正晶片上的不均匀性。
图6A、图6B和图6C示出了根据本公开的其他示例性孔图案。在图6A中,通孔600以基于三角形的图案以聚集在注射器608周围的组604的形式布置。中心组612被5个连续的圆形区域包围,其分别包括组604中的6组、12组、18组、24组和30组(即以6组图案递增)。在图6B和6C中的每一个中,中心组616被5个连续的六边形区域围绕,所述5个连续的六边形区域分别包括组604的6组、12组、18组、24组和24组。
在图6A、图6B和图6C中,通孔600中的选定通孔的直径可以被设定为不同的尺寸,以类似于图4和5D所描述的方式来改变/调整自由基的输送。换句话说,类型X的通孔600中的选定通孔的直径尺寸不同于通孔600的标称直径尺寸。例如,通孔600中的选定通孔具有的平均尺寸遵循预定比率(Davg_typeX)4/(Davg_nom)4=比率+/-0.01”,其中Davg_typeX是类型X的平均直径,Davg_nom是标称类型的平均直径。以此方式,可以如以上更详细地描述的那样,调整孔尺寸比率以调节特定区域中的反应物比率并校正晶片上的不均匀性。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或***上或针对半导体晶片或***执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与***集成、耦合到***、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机***的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对***的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向***提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到***。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (16)

1.一种用于衬底处理***的喷头,所述喷头包括:
下表面;
面向等离子体的上表面;
气体分配腔,其限定在所述下表面和所述上表面之间;
多个注射器,其分布在所述下表面上,其中所述多个注射器与所述气体分配腔流体连通;以及
从所述上表面延伸到所述下表面的多个通孔,其中所述多个通孔中的选定通孔的直径与所述多个通孔中的其余通孔的直径不同,并且其中所述多个通孔中的所述选定通孔的直径根据经由所述多个通孔中的所述选定通孔和所述多个通孔中的所述其余通孔提供的各自的气体的期望比率来预定。
2.根据权利要求1所述的喷头,其中,所述多个通孔中的所述选定通孔包括第一类型的通孔,所述第一类型的通孔的平均直径和所述多个通孔中的所述其余通孔的平均直径满足预定比率关系。
3.根据权利要求1所述的喷头,其中,所述多个通孔中的所述选定通孔包括至少第一类型的通孔和第二类型的通孔,所述第一类型的通孔和所述多个通孔中的所述其余通孔的平均直径满足第一预定比率关系,而所述第二类型的通孔和所述多个通孔中的所述其余通孔的平均直径满足第二预定比率关系。
4.根据权利要求1所述的喷头,其中,所述多个通孔中的所述选定通孔的所述直径根据与所述喷头相关的沉积不均匀性而预定。
5.根据权利要求1所述的喷头,其中,在所述喷头的所述下表面上,所述通孔以多组布置,每组包括所述通孔中的围绕所述多个注射器中的相应一个分布的两个或更多个。
6.根据权利要求1所述的喷头,其中,在所述喷头的所述下表面上,所述通孔以多组布置,每组包括所述通孔中的围绕所述多个注射器中的相应一个分布的三个。
7.根据权利要求6所述的喷头,其中,所述多个组中的每组中的所述通孔中的所述三个以三角形构造围绕所述多个注射器中的所述相应一个分布。
8.根据权利要求6所述的喷头,其中,所述多个组中的每组中的所述通孔中的所述三个围绕所述多个注射器中的所述相应一个径向地分布。
9.根据权利要求1所述的喷头,其中,所述多个通孔包括通孔的至少一个中心组和围绕所述至少一个中心组以第一六边形图案布置的所述通孔的第一多组。
10.根据权利要求9所述的喷头,其还包括所述通孔的第二多组,所述第二多组以第二六边形图案围绕所述第一多组布置。
11.根据权利要求1所述的喷头,其中,所述多个通孔包括至少一个中心组和围绕所述至少一个中心组以第一圆形图案布置的所述通孔的第一多组。
12.根据权利要求11所述的喷头,其还包括以第二圆形图案围绕所述第一多组布置的所述通孔的至少一个第二多组。
13.根据权利要求1所述的喷头,其中,所述多个通孔包括所述通孔的多组,其中所述通孔的所述多组包括至少一个中心组,并且其中所述多组中的其余组围绕所述至少一个中心组以6组图案递增的方式布置。
14.根据权利要求1所述的喷头,其中,所述多个通孔包括所述通孔的多组,其中所述通孔的所述多组包括至少一个中心组,并且其中所述多组中的其余组围绕所述至少一个中心组以8组图案递增的方式布置。
15.根据权利要求1所述的喷头,其还包括多个气体注射器喷嘴,所述多个气体注射器喷嘴从所述注射器中的相应的注射器向下延伸。
16.根据权利要求1所述的喷头,其中,所述多个通孔包括85组所述通孔。
CN201880079245.4A 2017-12-08 2018-12-07 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头 Pending CN111433902A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762596409P 2017-12-08 2017-12-08
US62/596,409 2017-12-08
PCT/US2018/064524 WO2019113478A1 (en) 2017-12-08 2018-12-07 Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Publications (1)

Publication Number Publication Date
CN111433902A true CN111433902A (zh) 2020-07-17

Family

ID=66734604

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880079245.4A Pending CN111433902A (zh) 2017-12-08 2018-12-07 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头

Country Status (5)

Country Link
US (2) US11015247B2 (zh)
JP (1) JP2021505766A (zh)
KR (1) KR20200087267A (zh)
CN (1) CN111433902A (zh)
WO (1) WO2019113478A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US20230332291A1 (en) * 2020-09-28 2023-10-19 Lam Research Corporation Remote plasma architecture for true radical processing
WO2022114583A1 (ko) * 2020-11-24 2022-06-02 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和***

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
CN101517704A (zh) * 2006-09-16 2009-08-26 派松尼克斯株式会社 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法
CN104641457A (zh) * 2012-09-21 2015-05-20 应用材料公司 晶圆处理设备中的化学物质控制特征
CN106032571A (zh) * 2015-01-09 2016-10-19 株式会社日立国际电气 衬底处理装置、气体分散单元、半导体器件的制造方法及程序
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
WO2003034477A1 (en) * 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR101309334B1 (ko) 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
JP2009016453A (ja) 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
JP5444599B2 (ja) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
KR101638646B1 (ko) 2009-02-20 2016-07-11 엔지케이 인슐레이터 엘티디 세라믹스-금속 접합체 및 그 제조 방법
US20110048325A1 (en) 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
WO2012008440A1 (ja) 2010-07-12 2012-01-19 株式会社アルバック 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
CN101517704A (zh) * 2006-09-16 2009-08-26 派松尼克斯株式会社 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法
CN104641457A (zh) * 2012-09-21 2015-05-20 应用材料公司 晶圆处理设备中的化学物质控制特征
CN106032571A (zh) * 2015-01-09 2016-10-19 株式会社日立国际电气 衬底处理装置、气体分散单元、半导体器件的制造方法及程序
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件

Also Published As

Publication number Publication date
US11015247B2 (en) 2021-05-25
US20190177846A1 (en) 2019-06-13
WO2019113478A1 (en) 2019-06-13
US20210269918A1 (en) 2021-09-02
JP2021505766A (ja) 2021-02-18
KR20200087267A (ko) 2020-07-20

Similar Documents

Publication Publication Date Title
US11608559B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN107452590B (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
US11015247B2 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20170278679A1 (en) Method and apparatus for controlling process within wafer uniformity
US20190153600A1 (en) Multi zone pedestal for ald film property correction and tunability
US11557460B2 (en) Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
CN112640084A (zh) 具有延长寿命的约束环
US20230332291A1 (en) Remote plasma architecture for true radical processing
CN115362544A (zh) 用于调节气体的局部输送的边缘环
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
WO2024076478A1 (en) Showerhead gas inlet mixer
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
CN114981477A (zh) 用于沟槽轮廓优化的多区气体分配板
CN115136279A (zh) 用于衬底处理基座的带内翅片的冷却剂通道

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination