CN111221499B - 基于近似6-2和4-2压缩器的近似乘法器及计算方法 - Google Patents

基于近似6-2和4-2压缩器的近似乘法器及计算方法 Download PDF

Info

Publication number
CN111221499B
CN111221499B CN201911130135.9A CN201911130135A CN111221499B CN 111221499 B CN111221499 B CN 111221499B CN 201911130135 A CN201911130135 A CN 201911130135A CN 111221499 B CN111221499 B CN 111221499B
Authority
CN
China
Prior art keywords
gate
approximate
input
compressor
input end
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201911130135.9A
Other languages
English (en)
Other versions
CN111221499A (zh
Inventor
梁华国
方宝
盛勇侠
鲁迎春
黄正峰
易茂祥
蒋翠云
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hefei University of Technology
Original Assignee
Hefei University of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hefei University of Technology filed Critical Hefei University of Technology
Priority to CN201911130135.9A priority Critical patent/CN111221499B/zh
Publication of CN111221499A publication Critical patent/CN111221499A/zh
Application granted granted Critical
Publication of CN111221499B publication Critical patent/CN111221499B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/52Multiplying; Dividing
    • G06F7/523Multiplying only

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Complex Calculations (AREA)

Abstract

本发明提出了一种基于近似6‑2和4‑2压缩器的近似乘法器及计算方法,该近似乘法器包括部分积生成模块、部分积树形压缩模块和进位加法器模块;部分积生成模块为一个与门阵列,乘数和被乘数的每一位通过与操作得到相应的部分积;所述部分积树形压缩模块包括精确压缩单元、近似压缩单元和截断单元;进位传播加法器用于精确压缩单元和近似压缩单元的输出信号相加得到的输出信号和截断单元的输出信号组合得到结果。本发明能极大地减少乘法器的面积开销,延时与功耗,从而提高乘法器的性能并降低能耗。

Description

基于近似6-2和4-2压缩器的近似乘法器及计算方法
技术领域
本发明属于集成电路技术领域,具体的说是一种基于近似6-2压缩器和近似4-2压缩器的近似乘法器及计算方法。
背景技术
近年来,随着大数据、人工智能的快速发展,计算机的算力不断提高,但同时也意味着功耗的大量增加。大数据、人工智能和多媒体等应用往往具有良好的容错性,不要求完全精确,因此,近似计算技术能有效地解决其高功耗问题。近似计算通过适当放松计算精度,在满足期望的精度要求下,获得计算性能和计算能耗的大幅优化。这使得近似计算可以利用降低复杂性和成本的优势,改变现有数字电路和***的设计过程,设计具有更低功耗、延时和面积的近似电路,提高电路的性能。
乘法器是数字处理器的关键算术单元,从滤波到卷积神经网络都广泛使用。传统精确乘法器保证了输出结果完全正确,但是消耗了大量的资源,具有较高的延迟和功耗。将传统精确乘法器进行改进能够得到近似乘法器,然而不合理地改动逻辑得到的近似往往具有很大的误差。某些可以容忍一定误差的应用场景中,如果同时考虑乘法器的复杂度与运算精度,就需要设计一个高精度、低复杂度的近似乘法器,以满足其要求。
发明内容
本发明是为了解决上述现有技术存在的不足之处,提出一种基于近似6-2和4-2压缩器的近似乘法器及计算方法,以期能在降低近似乘法器的延时,功耗和面积的同时保持高的精确度,从而能提高近似乘法器的计算性能并降低能耗。
本发明为达到上述发明目的,采用如下技术方案:
本发明一种基于近似6-2和4-2压缩器的近似乘法器的特点包括:部分积生成模块、部分积树形压缩模块和进位加法器模块;
所述部分积生成模块为与门阵列,所述与门阵列用于对n位的乘数和n位的被乘数进行“与”逻辑计算,得到n×n个部分积,并由n×n个部分积组成2n-1列部分积压缩树;
所述部分积树形压缩模块包括:精确压缩单元、近似压缩单元和截断单元;
所述精确压缩单元利用精确4-2压缩器和全加器对所述部分积压缩树中权重最高的n-3列的部分积进行压缩处理,得到精确压缩结果;
所述近似压缩单元利用近似6-2压缩器和近似4-2压缩器对所述部分积压缩树中权重次高的n-3列的部分积进行压缩处理,得到近似压缩结果;
由所述精确压缩结果和近似压缩结果组成预处理结果;
所述截断单元对所述部分积压缩树中最后5列的部分积进行截断处理,得到5位全零的截断结果;
所述进位加法器模块对所述预处理结果中每列的部分积进行相加处理,得到前2n-5位的二进制结果后,与5位全零的截断结果进行组合,从而得到最终的2n位二进制结果。
本发明所述的近似乘法器的特点在于:
所述近似6-2压缩器是由3个二输入的与门、3个二输入的或门以及3个三输入的或门组成,并依次为:二输入的第一与门、第二与门和第三与门,二输入的第一或门、第二或门和第三或门以及三输入的第四或门、第五或门和第六或门;
由第四或门的第一输入端和第一或门的第一输入端互相连接并作为所述近似6-2压缩器的第一输入端;
由第四或门的第二输入端作为所述近似6-2压缩器的第二输入端;
由第四或门的第三输入端和第一或门的第二输入端互相连接并作为所述近似6-2压缩器的第三输入端;
由第二或门的第一输入端和第二与门的第一输入端互相连接并作为所述近似6-2压缩器的第四输入端;
由第二或门的第二输入端和第二与门的第二输入端互相连接并作为所述近似6-2压缩器的第五输入端;
由第三或门的第二输入端作为所述近似6-2压缩器的第六输入端;
第二与门的输出端连接第三或门的第一输入端;第三或门和第二或门的输出端分别连接第三与门的第一、第二输入端;第四或门、第一或门和第三与门的输出端分别连接第六或门的第一、第二、第三输入端;第六或门的输出端作为所述近似6-2压缩器的第一输出端;
第四或门和第一或门的输出端分别连接第一与门的第一、第二输入端;第二与门的输出端连接第三或门的第一输入端;第一与门、第二或门和第三或门的输出端分别连接第五或门的第一、第二、第三输入端;第五或门的输出端作为所述近似6-2压缩器的第二输出端。
所述近似4-2压缩器包括2个反相器、6个二输入的与门以及2个四输入的或门,并依次为:第一反相器和第二反相器,二输入的第一与门、第二与门、第三与门、第四与门、第五与门和第六与门以及四输入的第一或门和第二或门;
由第一与门的第一输入端、第二与门的第一输入端和第六与门的第一输入端相互连接并作为所述近似4-2压缩器的第一输入端;
由第一与门的第二输入端、第三与门的第一输入端和第五与门的第一输入端相互连接并作为所述近似4-2压缩器的第二输入端;
由第二与门的第二输入端、第三与门的第二输入端、第四与门的第一输入端、第一反相器的输入端和第二反相器的输入端相互连接并作为所述近似4-2压缩器的第三输入端;
由第四与门的第二输入端和第二或门的第四输入端相互连接并作为所述近似4-2压缩器的第四输入端;
第一反相器的输出端连接第五与门的第二输入端;第二反相器的输出端连接第六与门的第二输入端;第一与门、第五与门和第六与门的输出端分别连接第二或门的第一、第二、第三输入端;第二或门的输出端作为所述近似4-2压缩器的数据输出端;
第一与门、第二与门、第三与门和第四与门的输出端分别连接第一或门的第一、第二、第三、第四输入端;第一或门的输出端作为所述近似4-2压缩器的进位输出端。
本发明一种基于近似6-2和4-2压缩器的近似乘法器的计算方法的特点是按如下步骤进行:
步骤一:部分积的生成:
利用与门阵列对n位的乘数和n位的被乘数进行“与”逻辑计算,生成n×n个部分积,并由n×n个部分积组成2n-1列部分积压缩树;
步骤二:近似4-2压缩器的搭建:
根据式(1)的逻辑关系,并利用2个反相器、6个二输入与门以及2个四输入或门搭建近似4-2压缩器:
Figure GDA0003413638480000031
式(1)中,设Y1、Y2、Y3和Y4分别为近似4-2压缩器的四个输入端,Sum、Carry分别为近似4-2压缩器的输出端和进位输出端;
步骤三:近似6-2压缩器的搭建:
根据式(2)的逻辑关系,并利用3个二输入与门、3个二输入或门以及3个三输入或门搭建近似6-2压缩器:
Figure GDA0003413638480000032
式(2)中,X1、X2、X3、X4、X5和X6分别为所述近似6-2压缩器的六个输入端,Sum1、Sum2分别为所述近似6-2压缩器的第一、第二输出端;
步骤四:部分积压缩树的化简:
将部分积压缩树权重最低的列定义为压缩树的第1列,将部分积压缩树的第1列到第5列定义为截断阵列,将部分积压缩树的第6列到第n+2列定义为近似压缩阵列,将部分积压缩树的第n+3列到第2n-1列定义为精确压缩阵列;
将所述截断阵列中的部分积均置为零,从而输出5位全零的二进制数作为截断结果;
利用近似6-2压缩器和近似4-2压缩器对所述近似压缩阵列中每列的部分积进行压缩处理,得到近似压缩结果;
利用精确4-2压缩器和全加器对精确压缩阵列中每列的部分积进行压缩处理,得到精确压缩结果;
由所述精确压缩结果和近似压缩结果组成预处理结果;
步骤五:二进制结果的生成:
利用进位加法器模块对所述预处理结果中每列的部分积进行相加处理,得到前2n-5位的二进制结果后,与所述截断结果进行组合,从而得到最终的2n位二进制结果。
与现有技术相比,本发明的有益效果在于:
1、现有技术的精确4-2压缩器包含1个与非门、2个或门、2个反相器、3个与门、3个或非门和4个异或门,而本发明提出的近似4-2压缩器只包含2个反相器、6个与门以及2个四输入的或门。因此在压缩处理过程中,本发明提出的近似4-2压缩器在延时、功耗和面积方面会远小于精确4-2压缩器。
2、本发明提出的近似6-2压缩器包括两个误差相互补偿的近似全加器。这极大地提升了近似6-2压缩器的精度,同时,用本发明提出的近似6-2压缩器处理六位部分积,比精确乘法器用2个精确4-2压缩器处理,降低了硬件开销。
3、本发明提出的近似乘法器的硬件复杂度更加的简单。因为本发明提出的近似乘法器的关键路径更短,从而本发明提出的近似乘法器比精确乘法器的延时更短。同时,本发明提出的近似乘法器所用的逻辑门远小于精确乘法器,所以本发明提出的近似乘法器在功耗和面积方面远远小于精确乘法器。
附图说明
图1为本发明近似乘法器的结构示意图;
图2为本发明近似4-2压缩器的电路结构图;
图3为现有技术中精确4-2压缩器的电路结构图;
图4为本发明近似6-2压缩器的电路结构图;
图5为本发明近似4-2压缩器的Carry卡洛图;
图6为本发明近似4-2压缩器的Sum卡洛图;
图7为本发明近似6-2压缩器的结构示意图;
图8为应用本发明的8×8位近似乘法器的部分积压缩树图;
图9为本发明8×8位精确dadda乘法器的部分积压缩树图。
具体实施方式
本实施例中,如图1所示,一种基于近似6-2和4-2压缩器的近似乘法器,包括:部分积生成模块、部分积树形压缩模块和进位加法器模块;
部分积生成模块为与门阵列,与门阵列用于对n位的乘数和n位的被乘数进行“与”逻辑计算,得到n×n个部分积,并由n×n个部分积组成2n-1列部分积压缩树;
部分积树形压缩模块包括:精确压缩单元、近似压缩单元和截断单元;
精确压缩单元利用精确4-2压缩器和全加器对部分积压缩树中权重最高的n-3列的部分积进行压缩处理,得到精确压缩结果;
近似压缩单元利用近似6-2压缩器和近似4-2压缩器对部分积压缩树中权重次高的n-3列的部分积进行压缩处理,得到近似压缩结果;
由精确压缩结果和近似压缩结果组成预处理结果;
截断单元对部分积压缩树中最后5列的部分积进行截断处理,得到5位全零的截断结果;
进位加法器模块对预处理结果中每列的部分积进行相加处理,得到前2n-5位的二进制结果后,与5位全零的截断结果进行组合,从而得到最终的2n位二进制结果。
具体的说,如图2所示,近似4-2压缩器包括2个反相器、6个二输入的与门以及2个四输入的或门,并依次为:第一反相器和第二反相器,二输入的第一与门、第二与门、第三与门、第四与门、第五与门和第六与门以及四输入的第一或门和第二或门;
由第一与门的第一输入端、第二与门的第一输入端和第六与门的第一输入端相互连接并作为近似4-2压缩器的第一输入端;
由第一与门的第二输入端、第三与门的第一输入端和第五与门的第一输入端相互连接并作为近似4-2压缩器的第二输入端;
由第二与门的第二输入端、第三与门的第二输入端、第四与门的第一输入端、第一反相器的输入端和第二反相器的输入端相互连接并作为近似4-2压缩器的第三输入端;
由第四与门的第二输入端和第二或门的第四输入端相互连接并作为近似4-2压缩器的第四输入端;
第一反相器的输出端连接第五与门的第二输入端;第二反相器的输出端连接第六与门的第二输入端;第一与门、第五与门和第六与门的输出端分别连接第二或门的第一、第二、第三输入端;第二或门的输出端作为近似4-2压缩器的数据输出端;
第一与门、第二与门、第三与门和第四与门的输出端分别连接第一或门的第一、第二、第三、第四输入端;第一或门的输出端作为近似4-2压缩器的进位输出端。
如图4所示,本实施例中,近似6-2压缩器是由3个二输入的与门、3个二输入的或门以及3个三输入的或门组成,并依次为:二输入的第一与门、第二与门和第三与门,二输入的第一或门、第二或门和第三或门以及三输入的第四或门、第五或门和第六或门;
由第四或门的第一输入端和第一或门的第一输入端互相连接并作为近似6-2压缩器的第一输入端;
由第四或门的第二输入端作为近似6-2压缩器的第二输入端;
由第四或门的第三输入端和第一或门的第二输入端互相连接并作为近似6-2压缩器的第三输入端;
由第二或门的第一输入端和第二与门的第一输入端互相连接并作为近似6-2压缩器的第四输入端;
由第二或门的第二输入端和第二与门的第二输入端互相连接并作为近似6-2压缩器的第五输入端;
由第三或门的第二输入端作为近似6-2压缩器的第六输入端;
第二与门的输出端连接第三或门的第一输入端;第三或门和第二或门的输出端分别连接第三与门的第一、第二输入端;第四或门、第一或门和第三与门的输出端分别连接第六或门的第一、第二、第三输入端;第六或门的输出端作为近似6-2压缩器的第一输出端;
第四或门和第一或门的输出端分别连接第一与门的第一、第二输入端;第二与门的输出端连接第三或门的第一输入端;第一与门、第二或门和第三或门的输出端分别连接第五或门的第一、第二、第三输入端;第五或门的输出端作为近似6-2压缩器的第二输出端。
本实施例中,一种基于近似6-2和4-2压缩器的近似乘法器的计算方法包括以下步骤:
步骤一:部分积的生成:
利用与门阵列对n位的乘数和n位的被乘数进行“与”逻辑计算,生成n×n个部分积,并由n×n个部分积组成2n-1列部分积压缩树;
步骤二:近似4-2压缩器的搭建:
设Y1、Y2、Y3和Y4分别为近似4-2压缩器的四个输入端,Sum、Carry分别为近似4-2压缩器的输出端和进位输出端。Carry的卡洛图如图5所示,将卡洛图中的Y1Y2Y3Y4=0101和Y1Y2Y3Y4=0101所对应的Carry值由‘0’代替。Sum的卡洛图如图6所示,将卡洛图中的Y1Y2Y3Y4=0010所对应的Sum值由‘0’代替,Y1Y2Y3Y4=0011、Y1Y2Y3Y4=0101、Y1Y2Y3Y4=1100和Y1Y2Y3Y4=1001所对应的Sum值由‘1’代替。化简图5和图6的卡洛图,得到近似4-2压缩器的表达式:
Figure GDA0003413638480000071
根据式(1)的逻辑关系,并利用2个反相器、6个二输入与门以及2个四输入或门搭建近似4-2压缩器,本发明提出的近似4-2压缩器的电路结构图如图2所示。
如图3所示为精确4-2压缩器的电路结构图,通过图2和图3的对比,可以发现精确4-2压缩器包含1个与非门、2个或门、2个反相器、3个与门、3个或非门和4个异或门,但是本发明提出的近似4-2压缩器只包含2个反相器、6个与门以及2个四输入的或门。所以,在压缩处理过程中,本发明提出的近似4-2压缩器在延时、功耗和面积方面会远小于精确4-2压缩器。
步骤三:近似6-2压缩器的搭建:
利用误差相互补偿策略设计一组近似全加器,设X1、X2和X3为近似全加器1的输入端,Sum1’和Carry1’为近似全加器1的输出端;X4、X5和X6为近似全加器2的输入端,Sum2’和Carry2’为近似全加器2的输出端。
全加器的平均误差ME为:
Figure GDA0003413638480000072
式(2)中,Value为精确全加器的值,Vapp为近似全加器的值,Erri表示精确全加器与近似全加器之间的误差。
近似全加器1的表达式为:
Figure GDA0003413638480000073
近似全加器2的表达式为:
Figure GDA0003413638480000081
由式(2)可知,近似全加器1的ME的值为-1/8,近似全加器2的ME的值为+1/8,显然,二者之间是对称的,彼此的误差可以相互补偿。
用上述提到的近似全加器1和近似全加器2采取误差相互补偿策略搭建近似6-2压缩器,本发明提出的近似6-2压缩器的结构示意图如图7所示,本发明提出的近似6-2压缩器的表达式为:
Figure GDA0003413638480000082
式(5)中,X1、X2、X3、X4、X5和X6分别为近似6-2压缩器的六个输入端,Sum1、Sum2分别为近似6-2压缩器的第一、第二输出端。根据式(5)的逻辑关系,并利用3个二输入与门、3个二输入或门以及3个三输入或门搭建近似6-2压缩器,本发明提出的近似6-2压缩器的电路结构图如图4所示。
由图7可知,本发明提出的近似6-2压缩器包括两个误差相互补偿的近似全加器。所以,这极大地提升了近似6-2压缩器的精度,同时,用本发明提出的近似6-2压缩器处理六位部分积,比精确乘法器用2个精确4-2压缩器处理,降低了硬件开销。
步骤四:部分积压缩树的化简:
将部分积压缩树权重最低的列定义为压缩树的第1列,将部分积压缩树的第1列到第5列定义为截断阵列,将部分积压缩树的第6列到第n+2列定义为近似压缩阵列,将部分积压缩树的第n+3列到第2n-1列定义为精确压缩阵列;
将截断阵列中的部分积均置为零,从而输出5位全零的二进制数作为截断结果;
利用近似6-2压缩器和近似4-2压缩器对近似压缩阵列中每列的部分积进行压缩处理,得到近似压缩结果;
利用精确4-2压缩器和全加器对精确压缩阵列中每列的部分积进行压缩处理,得到精确压缩结果;
由精确压缩结果和近似压缩结果组成预处理结果;
这里以一个8×8乘法器为例,此8×8乘法器的部分积压缩树结构示意图如图8所示。将部分积压缩树权重最低的列定义为压缩树的第1列,在第一次压缩中,在部分积压缩树第7列的最后一个部分积后和第9列的第一个部分积前添加一位二进制‘0’。用两个精确全加器分别对第13列和第14列的部分积进行压缩处理,用两个精确4-2压缩器分别对第11列和第12列的部分积进行压缩处理,用两个近似6-2压缩器分别对第6列和第10列的部分积进行压缩处理,用六个近似4-2压缩器分别对第7列、第8列和第9列的上下六个部分的部分积进行压缩处理。部分积压缩树第1列到5列的部分积直接截断,全部设置成五位二进制‘0’,上述得到的结果进行第二次压缩。在第二次压缩中,用全加器对第13列的部分积进行压缩处理,用三个近似4-2压缩器分别对第8列、第9列和第10列的部分积进行压缩处理。
与图9所示的精确8×8乘法器相比,可以明显发现本发明提出的近似乘法器的硬件复杂度更加的简单。所以,本发明提出的近似乘法器的关键路径更短,从而本发明提出的近似乘法器比精确乘法器的延时更短。同时,本发明提出的近似乘法器所用的逻辑门远小于精确乘法器,所以本发明提出的近似乘法器在功耗和面积方面远远小于精确乘法器。
步骤五:二进制结果的生成:
利用进位加法器模块对预处理结果中每列的部分积进行相加处理,得到前2n-5位的二进制结果后,与截断结果进行组合,从而得到最终的2n位二进制结果。
以8×8乘法器为研究对象,经过实验仿真得出,相比于精确的dadda树形8×8乘法器,应用本发明的8×8位近似乘法器,功耗降低42.9%,延迟时间降低5.2%,面积节省41.2%,功耗延迟积降低45.9%,能量延迟积降低48.7%,归一化平均误差为0.00236。由此可见,基于本发明提出的低五列截断,以及用本发明提出的近似6-2压缩器和近似4-2压缩器对第六列到第n+2列的部分积做近似压缩处理,能够使得本发明提出的近似乘法器的精度进一步提升,同时降低了其硬件开销,从而提升了乘法器的性能。

Claims (4)

1.一种基于近似6-2和4-2压缩器的近似乘法器,其特征包括:部分积生成模块、部分积树形压缩模块和进位加法器模块;
所述部分积生成模块为与门阵列,所述与门阵列用于对n位的乘数和n位的被乘数进行“与”逻辑计算,得到n×n个部分积,并由n×n个部分积组成2n-1列部分积压缩树;
所述部分积树形压缩模块包括:精确压缩单元、近似压缩单元和截断单元;
所述精确压缩单元利用精确4-2压缩器和全加器对所述部分积压缩树中权重最高的n-3列的部分积进行压缩处理,得到精确压缩结果;
所述近似压缩单元利用近似6-2压缩器和近似4-2压缩器对所述部分积压缩树中权重次高的n-3列的部分积进行压缩处理,得到近似压缩结果;
由所述精确压缩结果和近似压缩结果组成预处理结果;
所述截断单元对所述部分积压缩树中最后5列的部分积进行截断处理,得到5位全零的截断结果;
所述进位加法器模块对所述预处理结果中每列的部分积进行相加处理,得到前2n-5位的二进制结果后,与5位全零的截断结果进行组合,从而得到最终的2n位二进制结果。
2.根据权利要求1所述的近似乘法器,其特征在于:
所述近似6-2压缩器是由3个二输入的与门、3个二输入的或门以及3个三输入的或门组成,并依次为:二输入的第一与门、第二与门和第三与门,二输入的第一或门、第二或门和第三或门以及三输入的第四或门、第五或门和第六或门;
由第四或门的第一输入端和第一或门的第一输入端互相连接并作为所述近似6-2压缩器的第一输入端;
由第四或门的第二输入端作为所述近似6-2压缩器的第二输入端;
由第四或门的第三输入端和第一或门的第二输入端互相连接并作为所述近似6-2压缩器的第三输入端;
由第二或门的第一输入端和第二与门的第一输入端互相连接并作为所述近似6-2压缩器的第四输入端;
由第二或门的第二输入端和第二与门的第二输入端互相连接并作为所述近似6-2压缩器的第五输入端;
由第三或门的第二输入端作为所述近似6-2压缩器的第六输入端;
第二与门的输出端连接第三或门的第一输入端;第三或门和第二或门的输出端分别连接第三与门的第一、第二输入端;第四或门、第一或门和第三与门的输出端分别连接第六或门的第一、第二、第三输入端;第六或门的输出端作为所述近似6-2压缩器的第一输出端;
第四或门和第一或门的输出端分别连接第一与门的第一、第二输入端;第二与门的输出端连接第三或门的第一输入端;第一与门、第二或门和第三或门的输出端分别连接第五或门的第一、第二、第三输入端;第五或门的输出端作为所述近似6-2压缩器的第二输出端。
3.根据权利要求1所述的近似乘法器,其特征在于:
所述近似4-2压缩器包括2个反相器、6个二输入的与门以及2个四输入的或门,并依次为:第一反相器和第二反相器,二输入的第一与门、第二与门、第三与门、第四与门、第五与门和第六与门以及四输入的第一或门和第二或门;
由第一与门的第一输入端、第二与门的第一输入端和第六与门的第一输入端相互连接并作为所述近似4-2压缩器的第一输入端;
由第一与门的第二输入端、第三与门的第一输入端和第五与门的第一输入端相互连接并作为所述近似4-2压缩器的第二输入端;
由第二与门的第二输入端、第三与门的第二输入端、第四与门的第一输入端、第一反相器的输入端和第二反相器的输入端相互连接并作为所述近似4-2压缩器的第三输入端;
由第四与门的第二输入端和第二或门的第四输入端相互连接并作为所述近似4-2压缩器的第四输入端;
第一反相器的输出端连接第五与门的第二输入端;第二反相器的输出端连接第六与门的第二输入端;第一与门、第五与门和第六与门的输出端分别连接第二或门的第一、第二、第三输入端;第二或门的输出端作为所述近似4-2压缩器的数据输出端;
第一与门、第二与门、第三与门和第四与门的输出端分别连接第一或门的第一、第二、第三、第四输入端;第一或门的输出端作为所述近似4-2压缩器的进位输出端。
4.一种基于近似6-2和4-2压缩器的近似乘法器的计算方法,其特征是按如下步骤进行:
步骤一:部分积的生成:
利用与门阵列对n位的乘数和n位的被乘数进行“与”逻辑计算,生成n×n个部分积,并由n×n个部分积组成2n-1列部分积压缩树;
步骤二:近似4-2压缩器的搭建:
根据式(1)的逻辑关系,并利用2个反相器、6个二输入与门以及2个四输入或门搭建近似4-2压缩器:
Figure FDA0003413638470000031
式(1)中,设Y1、Y2、Y3和Y4分别为近似4-2压缩器的四个输入端,Sum、Carry分别为近似4-2压缩器的输出端和进位输出端;
步骤三:近似6-2压缩器的搭建:
根据式(2)的逻辑关系,并利用3个二输入与门、3个二输入或门以及3个三输入或门搭建近似6-2压缩器:
Figure FDA0003413638470000032
式(2)中,X1、X2、X3、X4、X5和X6分别为所述近似6-2压缩器的六个输入端,Sum1、Sum2分别为所述近似6-2压缩器的第一、第二输出端;
步骤四:部分积压缩树的化简:
将部分积压缩树权重最低的列定义为压缩树的第1列,将部分积压缩树的第1列到第5列定义为截断阵列,将部分积压缩树的第6列到第n+2列定义为近似压缩阵列,将部分积压缩树的第n+3列到第2n-1列定义为精确压缩阵列;
将所述截断阵列中的部分积均置为零,从而输出5位全零的二进制数作为截断结果;
利用近似6-2压缩器和近似4-2压缩器对所述近似压缩阵列中每列的部分积进行压缩处理,得到近似压缩结果;
利用精确4-2压缩器和全加器对精确压缩阵列中每列的部分积进行压缩处理,得到精确压缩结果;
由所述精确压缩结果和近似压缩结果组成预处理结果;
步骤五:二进制结果的生成:
利用进位加法器模块对所述预处理结果中每列的部分积进行相加处理,得到前2n-5位的二进制结果后,与所述截断结果进行组合,从而得到最终的2n位二进制结果。
CN201911130135.9A 2019-11-18 2019-11-18 基于近似6-2和4-2压缩器的近似乘法器及计算方法 Active CN111221499B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911130135.9A CN111221499B (zh) 2019-11-18 2019-11-18 基于近似6-2和4-2压缩器的近似乘法器及计算方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201911130135.9A CN111221499B (zh) 2019-11-18 2019-11-18 基于近似6-2和4-2压缩器的近似乘法器及计算方法

Publications (2)

Publication Number Publication Date
CN111221499A CN111221499A (zh) 2020-06-02
CN111221499B true CN111221499B (zh) 2022-04-26

Family

ID=70811122

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911130135.9A Active CN111221499B (zh) 2019-11-18 2019-11-18 基于近似6-2和4-2压缩器的近似乘法器及计算方法

Country Status (1)

Country Link
CN (1) CN111221499B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111897513B (zh) * 2020-07-29 2023-07-21 上海芷锐电子科技有限公司 一种基于反向极性技术的乘法器及其代码生成方法
CN111966323B (zh) * 2020-08-18 2022-09-13 合肥工业大学 基于无偏压缩器的近似乘法器及计算方法
CN112506472B (zh) * 2020-11-23 2024-04-02 南京航空航天大学 一种基于冗余odds数的十进制4:2压缩器结构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108984149A (zh) * 2018-08-07 2018-12-11 电子科技大学 一种高速低功耗的近似4-2压缩器
CN109542393A (zh) * 2018-11-19 2019-03-29 电子科技大学 一种近似4-2压缩器及近似乘法器
CN110362292A (zh) * 2019-07-22 2019-10-22 电子科技大学 一种基于近似4-2压缩器的近似乘法运算方法和近似乘法器

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8996601B2 (en) * 2012-06-21 2015-03-31 Advanced Micro Devices, Inc. Method and apparatus for multiply instructions in data processors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108984149A (zh) * 2018-08-07 2018-12-11 电子科技大学 一种高速低功耗的近似4-2压缩器
CN109542393A (zh) * 2018-11-19 2019-03-29 电子科技大学 一种近似4-2压缩器及近似乘法器
CN110362292A (zh) * 2019-07-22 2019-10-22 电子科技大学 一种基于近似4-2压缩器的近似乘法运算方法和近似乘法器

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Low-Cost Approximate Multiplier Design using Probability-Driven Inexact Compressors;Yi Guo;《2018 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)》;IEEE;20190110;第291-294页 *
第四章 近似冗余二进制乘法器设计;操天;《中国优秀博硕士学位论文全文数据库(硕士)》;20190215(第2期);I137-27 *

Also Published As

Publication number Publication date
CN111221499A (zh) 2020-06-02

Similar Documents

Publication Publication Date Title
CN110362292B (zh) 一种基于近似4-2压缩器的近似乘法运算方法和近似乘法器
CN111221499B (zh) 基于近似6-2和4-2压缩器的近似乘法器及计算方法
CN109542393B (zh) 一种近似4-2压缩器及近似乘法器
CN105183425B (zh) 一种具有高精度低复杂度特性的固定位宽乘法器
CN108984149B (zh) 一种高速低功耗的近似4-2压缩器
CN114647399B (zh) 一种低能耗高精度近似并行定宽乘法累加装置
Venkatachalam et al. Approximate sum-of-products designs based on distributed arithmetic
CN106775577B (zh) 一种高性能非精确冗余二进制乘法器的设计方法
CN109144473B (zh) 一种基于冗余odds数的十进制3:2压缩器结构
CN116400883A (zh) 一种可切换精度的浮点乘加器
CN110955403B (zh) 近似基-8布斯编码器及混合布斯编码的近似二进制乘法器
CN114115803B (zh) 一种基于部分积概率分析的近似浮点乘法器
CN112764712B (zh) 一种高性能近似Booth乘法器及计算方法
CN111966323B (zh) 基于无偏压缩器的近似乘法器及计算方法
CN110825346B (zh) 一种低逻辑复杂度的无符号近似乘法器
Kumar et al. Complex multiplier: implementation using efficient algorithms for signal processing application
CN111897513B (zh) 一种基于反向极性技术的乘法器及其代码生成方法
Baba et al. Design and implementation of advanced modified booth encoding multiplier
Bokade et al. CLA based 32-bit signed pipelined multiplier
Kalaiselvi et al. Area efficient high speed and low power MAC unit
Arab Nezhad et al. Design of low-power approximate logarithmic multipliers with improved accuracy
CN116048455B (zh) 一种***型的近似乘法累加器
US20230015148A1 (en) Multiplier and Adder in Systolic Array
Chen et al. An Enhanced DSP Block Architecture for FPGA Supporting Multi-operands Addition Operation
Sharanya et al. A MODIFIED PARTIAL PRODUCT GENERATOR FOR REDUNDANT BINARY MULTIPLIERS

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant