CN110998790B - 在水平表面上的选择性沉积SiN - Google Patents
在水平表面上的选择性沉积SiN Download PDFInfo
- Publication number
- CN110998790B CN110998790B CN201880050853.2A CN201880050853A CN110998790B CN 110998790 B CN110998790 B CN 110998790B CN 201880050853 A CN201880050853 A CN 201880050853A CN 110998790 B CN110998790 B CN 110998790B
- Authority
- CN
- China
- Prior art keywords
- sin
- oxide
- tungsten
- deposited
- nitride
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 230000008021 deposition Effects 0.000 title claims description 61
- 238000000034 method Methods 0.000 claims abstract description 145
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 117
- 150000004767 nitrides Chemical class 0.000 claims abstract description 117
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 116
- 238000000151 deposition Methods 0.000 claims abstract description 90
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical group [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims abstract description 65
- 229910052721 tungsten Inorganic materials 0.000 claims abstract description 65
- 239000010937 tungsten Substances 0.000 claims abstract description 65
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 46
- 239000007789 gas Substances 0.000 claims description 68
- 239000000758 substrate Substances 0.000 claims description 62
- 238000005530 etching Methods 0.000 claims description 57
- 238000012545 processing Methods 0.000 claims description 34
- 239000000463 material Substances 0.000 claims description 24
- 239000000376 reactant Substances 0.000 claims description 18
- 239000001257 hydrogen Substances 0.000 claims description 17
- 229910052739 hydrogen Inorganic materials 0.000 claims description 17
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 15
- 229910052710 silicon Inorganic materials 0.000 claims description 15
- 239000010703 silicon Substances 0.000 claims description 15
- 239000004065 semiconductor Substances 0.000 claims description 14
- 239000011737 fluorine Substances 0.000 claims description 13
- 229910052731 fluorine Inorganic materials 0.000 claims description 13
- 239000000945 filler Substances 0.000 claims description 11
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 10
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 3
- 238000009825 accumulation Methods 0.000 abstract 1
- 230000008569 process Effects 0.000 description 100
- 210000002381 plasma Anatomy 0.000 description 46
- 235000012431 wafers Nutrition 0.000 description 44
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 15
- 238000010586 diagram Methods 0.000 description 14
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 10
- 238000012546 transfer Methods 0.000 description 10
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 9
- 238000000231 atomic layer deposition Methods 0.000 description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 7
- 150000002431 hydrogen Chemical class 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 4
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- JJWKPURADFRFRB-UHFFFAOYSA-N carbonyl sulfide Chemical compound O=C=S JJWKPURADFRFRB-UHFFFAOYSA-N 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 4
- 238000006731 degradation reaction Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 238000011049 filling Methods 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- QGJOPFRUJISHPQ-UHFFFAOYSA-N Carbon disulfide Chemical compound S=C=S QGJOPFRUJISHPQ-UHFFFAOYSA-N 0.000 description 3
- 229910018503 SF6 Inorganic materials 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 210000004027 cell Anatomy 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- -1 oxide Chemical class 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 238000004220 aggregation Methods 0.000 description 2
- 230000002776 aggregation Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000001788 irregular Effects 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 230000000149 penetrating effect Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000005389 semiconductor device fabrication Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000002923 metal particle Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- QHMQWEPBXSHHLH-UHFFFAOYSA-N sulfur tetrafluoride Chemical compound FS(F)(F)F QHMQWEPBXSHHLH-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C16/00—Erasable programmable read-only memories
- G11C16/02—Erasable programmable read-only memories electrically programmable
- G11C16/04—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
- G11C16/0408—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C16/00—Erasable programmable read-only memories
- G11C16/02—Erasable programmable read-only memories electrically programmable
- G11C16/04—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
- G11C16/0466—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02538—Group 13/15 materials
- H01L21/0254—Nitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/30—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
- H10B41/35—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/50—EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Semiconductor Memories (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Non-Volatile Memory (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
Abstract
提供了用于通过高密度等离子体化学气相沉积(HDP CVD)选择性沉积氮化硅(SiN),以在具有交替的氧化物层和氮化物层的3D NAND阶梯状结构中的氮化物层的暴露的平坦表面上形成SiN垫的方法和装置。在一些实施方案中,执行选择性蚀刻以去除在阶梯状结构的氧化物层的侧壁上的SiN的不希望有的累积。阶梯状结构的氮化物层被钨(W)取代以形成钨字线,而SiN垫被钨取代以形成着陆垫,这防止了通过延伸到钨字线上的互连件而导致阶梯状结构上的钨字线的穿通。
Description
相关申请的交叉引用
本申请要求于2017年8月4日提交的美国临时专利申请No.62/541,262的优先权,其全部内容通过引用并入本文并用于所有目的。
背景技术
半导体器件制造涉及闪存的制造。随着器件缩小,用于制造高效和多个存储器单元的结构用于最大化存储器设备中的存储器单元的密度。3D NAND技术通过在层中竖直堆叠存储器单元来解决与二维NAND技术相关的挑战。
发明内容
本文提供了用于处理半导体衬底的方法和装置。本公开的一个方面涉及一种处理用于制造3D NAND结构的半导体衬底的方法,该方法包括:提供具有以阶梯状图案布置的交替的氧化物层和氮化物层的衬底。所述氮化物层中的每一层具有暴露的水平表面。在将氧化物填料沉积到所述阶梯状图案上之前,经由高密度等离子体化学气相沉积(HDP CVD)在所述氧化物层和所述氮化物层上沉积氮化硅(SiN)。相对于氧化物的侧壁表面选择性地在所述氮化物层的在每一层的所述暴露的水平表面上沉积所述SiN以形成SiN垫。
所述经由HDP CVD沉积SiN可以包括:同时在所述氮化物层的所述暴露的水平面上沉积SiN以形成所述SiN垫,同时相对于所述SiN垫选择性地蚀刻沉积在所述阶梯状图案的所述氧化物层的侧壁上的SiN。此外,使所述氮化物层的所述暴露的水平表面在每一层暴露于沉积化学物质以形成所述SiN垫。另外,可以将所述阶梯状图案的所述氧化物层的侧壁暴露于蚀刻剂以相对于所述SiN垫选择性地蚀刻沉积在所述侧壁上的SiN。
替代地,在一些实施方案中,在将所述阶梯状图案的所述氧化物层暴露于所述蚀刻剂之前,可以将所述氮化物层的所述暴露的水平表面在每一层暴露于所述沉积化学物质。所述蚀刻剂可以包括由氢气(H2)或含氟(F)气体产生的等离子体物质。在一些实施方案中,从沉积所述SiN转变到选择性地蚀刻沉积在所述氧化物层的侧壁上的所述SiN可以包括降低施加到所述衬底的偏置。
在一些实施方案中,经由HDP CVD沉积SiN可以包括使含硅反应物和含氮反应物流到HDP CVD室中。
在一些实施方案中,可以用钨(W)字线代替所述氮化物层。可以用钨着陆垫代替所述SiN垫。此外,在经由HDP CVD在所述氮化物层的暴露表面上沉积SiN之后,可以在所述阶梯状图案上沉积所述氧化物填料。可以蚀刻所述氧化物填料以在所述氧化物填料中形成竖直通孔。所述竖直通孔可以延伸至所述钨字线。可以相对于所述钨着陆垫选择性地蚀刻所述氧化物填料。可以在所述通孔中沉积钨以形成延伸到所述字线的钨互连件。可以在所述字线上沉积所述钨着陆垫,以防止所述字线中的一个或多个被所述通孔中的一个或多个穿通。
在一些实施方案中,可以选择性地蚀刻沉积在所述阶梯状图案的所述氧化物层的侧壁上的SiN,同时保持在水平表面上的SiN沉积基本完整。
本公开的另一方面涉及一种处理具有定位在氧化物层之间的氮化物层从而形成阶梯状结构的衬底的方法。所述氮化物层的部分被暴露。在向所述衬底施加偏置的同时,经由高密度等离子体化学气相沉积(HDP CVD)从含硅反应物和含氮反应物沉积的SiN可以沉积在所述氮化物层的暴露的部分的平坦表面上。通过相对于在所述氮化物层的所述暴露的部分的所述平坦表面上沉积的所述材料选择性地蚀刻沉积在所述侧壁上的所述材料可以去除沉积在所述阶梯状结构的所述氧化物层的侧壁上的材料。在一些实施方案中,所述含硅反应物和所述含氮反应物的所述沉积可以是各向异性的。此外,蚀刻沉积在所述侧壁上的材料可以包括使所述侧壁暴露于由氢气或含氟气体产生的等离子体物质。另外,所述沉积和在所述侧壁上沉积的所述材料的去除可以同时或者顺序地进行。
另一方面涉及一种用于处理衬底的装置。所述装置可以包括容纳处理室的反应器。等离子体源可以与所述处理室流体连通并且耦合。衬底基座可以定位在所述处理室内。所述衬底基座可以接收由与所述衬底基座耦合的电极提供的电能。一个或多个气体入口可以通向所述处理室内并且具有与气体入口相关的流量控制硬件。可以通过所述气体入口将处理气体引入所述处理室中。控制器可配置成控制反应器。控制器具有处理器和存储器。所述处理器和所述存储器相互通信连接。所述处理器至少与所述流量控制硬件能操作地连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制处理器以至少通过以下方式控制所述流量控制硬件:(a)将所述处理气体输入处理室,所述处理气体包括:含硅反应物,含氮反应物,和氢气,其中氢气比所述含硅前体的比例至少为1:2,(b)在(a)期间对所述电极施加偏置;(c)在(a)之后,降低施加到所述电极上的偏置;以及(d)在(c)期间,输入氢气(H2)或含氟气体。
这些和其他特征将在下面参考相关附图进行描述。
附图说明
图1是描绘方法的操作的工艺流程图。
图2、3和4A是图案化方案中的衬底的示意图。
图4B是图4A中示出的衬底的部分视图。
图5A、6A、7A、8和9是图案化方案中的衬底的示意图。
图5B、6B和7B分别是图5A、6A和7A中所示的衬底的示意图的侧视图。
图10是描绘方法的操作的工艺流程图。
图11-15是图案化方案中的衬底的示意图。
图16是用于执行某些公开的实施方案的示例性处理室的示意图。
图17是用于执行某些公开的实施方案的示例处理工具的示意图。
具体实施方式
在下面的说明中,阐述了许多具体细节,以提供对所呈现的实施方案的彻底理解。公开的实施方案可以在没有这些具体细节的部分或全部的情况下实施。在其它情况下,公知的处理操作没有进行详细说明,以避免使本公开的实施方案不清楚。此外,虽然所公开的实施方案将结合具体的实施方案进行说明,但应理解,具体的实施方案并不意在限制本发明的实施方案。
下文公开的实现方式描述了在诸如晶片、衬底或者其他工件之类的衬底上的材料的沉积。所述工件可以是各种形状、尺寸和材料。在本申请中,术语“晶片”和“衬底”可互换使用。
半导体制造通常涉及存储器设备的制造。一个示例是3D NAND(也称为“竖直NAND”(VNAND))结构的制造。然而,用于形成3D NAND结构的现有技术限于对较小的器件进行缩放,并且目前可用的图案化技术可能导致3D NAND结构中的各种部件的不期望有的退化。
在当前的3D NAND技术中,可以在沉积在以阶梯状图案布置的交替的氧化物层和氮化物层上的氧化物填料中形成通孔。通孔竖直延伸以接触用以取代氮化物层的暴露的钨字线。金属(例如钨)沉积在通孔中以形成互连件,互连件延伸到钨字线并与之接触。然而,考虑到每一层字线的高度相对于互连件的变化,在各种互连件及其对应的字线之间保持足够的停止距离可能是困难的。因此,填充最长的互连件以使其与阶梯状图案的基层上的字线接触所需的时间可能会导致较短的互连件穿过位于阶梯状图案上方的较高位字线且进入位于字线下方的氧化物层的不经意的且不希望有的“穿通”。因此,位于阶梯上较高位置的字线上的接触表面相对于位于阶梯状图案的底部的字线可能被过度蚀刻。
此外,在3D NAND结构中增加交替的氧化物层和氮化物层的高度或数量也可能是困难的,因为交替的层堆叠的高度可能引起大量的蚀刻和翘曲问题。为了减轻由高3D NAND结构导致的蚀刻和翘曲问题的影响,可以减小每个氧化物层或氮化物层的厚度。但是,这样做可能会进一步加剧上述穿通问题。
解决上述问题的其他补救措施包括增加接触掩模和蚀刻操作,从而可以使阶梯状图案上较高位置的过度蚀刻最小化,但这样做通常会增加成本并降低产率。
可替代地,可以在阶梯状图案上方添加蚀刻停止层以解决上述的穿通问题。然而,高度选择性的蚀刻停止层在蚀刻通孔的区域中也可能是选择性的,因此无意中阻止了阶梯状图案中的在通孔区域附近或之内的氮化物层的去除。
配置为实现所述方法的公开的方法和装置使得能在阶梯状图案的每个氮化物层的选定的暴露部分处沉积氮化硅(SiN)以在其上形成SiN垫的方法。在一些实施方案中,所述方法包括在高密度等离子体化学气相沉积(HDP CVD)工具内的原位蚀刻。与其他沉积方法相比,与使用HDP CVD沉积SiN相关的优点包括薄的无意的侧壁沉积覆盖。此外,使SiN垫暴露于各向同性地输送的蚀刻剂(例如由含氢或含氟物质产生的蚀刻剂)可以去除沉积在阶梯状图案的侧壁上的SiN,同时保持沉积在水平表面上的SiN完整。在一些实施方案中,SiN沉积可以作为单个操作完成,从而使成本和工艺循环时间最小化。尽管下面的方法和工具是在3D NAND结构的氮化物层上形成SiN垫的背景下描述的,但它们可用于寻求在水平表面上选择性沉积SiN的任何应用中。
图1示出了根据用于形成3D NAND结构的方法执行的操作的工艺流程图。在操作182中,提供衬底。在多种实施方案中,衬底是半导体衬底。衬底可以是硅晶片,例如200mm晶片、300mm晶片或450mm晶片,包括具有沉积在上面的一层或多层材料(例如电介质、导电材料或半导电材料)的晶片。在图2中提供示例性衬底100作为示意图。
返回图1,在操作184中,在衬底上沉积交替的氧化物和氮化物膜的膜堆叠件。在多种实施方案中,沉积的氧化物层是氧化硅层。在多种实施方案中,沉积的氮化物层是氮化硅层。
在一些实施方案中,每个氧化物层和氮化物层可以被沉积到大约相同的厚度,例如介于约10nm和约100nm之间,或者约350nm。可以在介于约室温和约600℃之间的沉积温度下沉积氧化物层。应当理解,如本文所用的“沉积温度”(或“衬底温度”)是指在沉积期间保持衬底的基座所设定的温度。
可以使用任何合适的技术沉积用于形成交替氧化物和氮化物膜堆叠件的氧化物层和氮化物层,合适的技术例如原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或溅射。在多种实施方案中,通过PECVD沉积氧化物层和氮化物层。
膜堆叠件可包括介于48至512层之间的交替的氧化物层和氮化物层,由此每个氧化物层或氮化物层构成一层。包括交替的氧化物层和氮化物层的膜堆叠件可以称为氧化物-氮化物-氧化物-氮化物(ONON)堆叠件。
图3示出了衬底100的示例性示意图,在衬底100上沉积有交替氧化物101和氮化物102膜。注意,虽然图3中所示的结构示出了首先沉积氧化物,然后依次沉积氮化物、氧化物、氮化物等,但可首先沉积氮化物然后依次沉积氧化物、氮化物、氧化物等。
在沉积ONON堆叠件之后,可以在衬底中蚀刻一个或者多个沟道(图3中未示出)。随后,参考图1,在操作186中,在衬底上形成阶梯状图案。这里提到的“阶梯状图案”描绘了两个或更多个台阶,每个台阶包括氧化物层和氮化物层。应当理解,每组氧化物层和氮化物层的顶层可以是用于形成在阶梯中的台阶的氧化物层或氮化物层。在多种实施方案中,阶梯状图案包括介于24个到256个之间的台阶。可以使用各种图案化技术形成阶梯状图案。一种技术可以包括在衬底上沉积牺牲层并掩蔽衬底的区域以蚀刻每组氧化物层和氮化物层以形成阶梯。
图4A提供了衬底100的示例,衬底100包括氧化物层111和氮化物层112的阶梯状图案,在最顶部的氮化物层上具有硬掩模110。尽管图4A示出了阶梯状图案的四个台阶,但是应该理解,阶梯状图案可以具有任何数量的台阶,例如介于24个到256个之间的台阶。每个台阶包括氮化物层和氧化物层。每个台阶的从台阶上方的台阶的边缘向外延伸的区域可以被称为台阶的“暴露”区域或台阶的最上层,或适于在其上沉积的部分。
在图4B中示出了图4A中所示的阶梯状图案的在例如阶梯的宽度方向上一分为二的视图199,以突出阶梯状图案的分层结构。氧化物层111与氮化物层112平行并位于其间。每组的一个氧化层111与后跟一个氮化物层112长于其正上方的一组,从而形成具有暴露区域的阶梯状图案。
在一些实施方案中,在图1的操作188中,在衬底上沉积氧化物。该氧化物与在ONON堆叠件的层中沉积的氧化物可以具有相同或者不同的组成。在多种实施方案中,在衬底上沉积的氧化物在与用于在ONON堆叠件中沉积氧化物层的沉积温度相同或者不同的沉积温度下沉积。沉积温度可以介于室温和约600℃之间。随后在沉积氧化物之后将竖直缝隙蚀刻到衬底中。
图5A示出了示例性衬底100,其包括沉积在衬底上的ONON阶梯、硬掩模110和氧化物122。图5B示出了衬底100的在蚀刻竖直缝隙135并且去除硬掩模110之后的侧视图。
在操作190中,相对于衬底上的氧化物选择性地蚀刻氮化物。蚀刻可以使用选择性干法蚀刻工艺进行,例如通过将衬底暴露于以下气体中的任何一种或多种进行:氯(Cl2)、氧(O2)、一氧化二氮(N2O)、四氟甲烷(CF4)、四氟化硫(SF4)、二氧化碳(CO2)、氟甲烷(CH3F)、三氟化氮(NF3)、氮(N2)、氢(H2)、氨(NH3)、甲烷(CH4)、六氟化硫(SF6)、氩(Ar)、硫化羰(COS)、二硫化碳(CS2)、硫化氢(H2S)和一氧化氮(NO)。该操作190从ONON堆叠件去除氮化物层,使得蚀刻物质流入在阶梯状图案中形成的竖直缝隙并选择性地蚀刻氮化物。应当理解,选择性蚀刻涉及以比蚀刻第二材料的速率更快的速率蚀刻第一材料。例如,相对于氧化物选择性地蚀刻氮化物意味着以比蚀刻氧化物的速率更快的速率蚀刻氮化物。可以使用湿法蚀刻工艺选择性地蚀刻氮化物,例如通过将衬底暴露于磷酸(H3PO4)、稀释的氢氟酸(“DHF”)或这些溶液的混合物进行。然而,选择性地去除氮化物在各种界面处(例如在每个阶梯的末端处的氧化物-氧化物界面处)存在退化和去除氧化物材料的风险。这在下文进一步参考图6A描述。
图6A示出了具有通过蚀刻氮化物层112而形成的水平间隙132的衬底100的示例性示意图。如在170处的圆圈中描绘的放大视图中所示,由于在蚀刻操作期间,蚀刻物质流入间隙132并蚀刻掉氧化物,因而在氧化物-氧化物界面处形成间隙134。图6B示出了衬底的横截面的侧视图,由此通过选择性地蚀刻氮化物形成间隙132。
返回图1,在操作192中,将钨沉积到衬底的间隙中以形成钨字线。可以通过任何合适的技术(例如ALD、CVD、PEALD和PECVD)沉积钨。在一些实施方案中,在沉积主体钨之前沉积阻挡层或钨成核层中的一者或者两者。图7A示出了包括沉积的钨字线140的衬底100的示例。然而,由于氧化物-氧化物界面处的氧化物的退化,如170处的放大视图所示,钨填充在141处的间隙中,由此连接两个字线140,从而可能导致短路。图7B示出了7A的衬底在侧视图中的横截面的示意图,其中钨140沉积在先前氮化物所在的间隙中。
返回图1,在操作194中,竖直蚀刻氧化物以形成通孔。可以通过使用暴露于蚀刻剂,例如以下气体中的一种或多种来干法蚀刻以蚀刻氧化物:O2、Ar、C4F6、C4F8、SF6、CHF3和CF4。图8示出了包括呈阶梯状图案的ONON堆叠件的示例性衬底100,由此在氧化物122中蚀刻通孔137。然而,由于钨字线层的相对薄度和用于确保充分的竖直蚀刻的长的蚀刻持续时间以蚀刻最深的通孔(例如,137b),蚀刻物质流入针对氧化物的浅部分蚀刻的通孔(例如,137a)中,从而蚀刻穿过钨层136并且甚至蚀刻穿过另一氧化物层138。如前所述,这种现象通常是不希望有的,并且相对于位于预期钨字线触点或层下方的层来说称为“穿通”或“突破”。
在图1中,在操作196中,将钨沉积在通孔中以与钨字线形成互连。然而,如图9所示,由于浅通孔因为用于蚀刻深通孔的持续时间而导致突破到下面的层,因此钨填充通孔(参见填充钨的通孔142)并导致在图9中的172圈中的短路。通孔的深度不同,并且可以具有介于约1微米和约12微米之间的深度。浅通孔可以定义为具有小于3.0微米的深度,例如介于约1.5微米和3.0微米之间的深度。深通孔的深度可以大于3.0微米。在氧化物中形成的通孔的关键尺寸可以介于约50nm和约500nm之间。可以使用干法蚀刻工艺蚀刻通孔,该干法蚀刻工艺可以涉及掩蔽操作以图案化氧化物。
当蚀刻不同深度的通孔时,用于形成3D NAND结构的常规技术导致在选择性去除氮化物和穿通钨字线期间氧化物-氧化物界面处的退化。通常,所执行的大量蚀刻技术使用各种化学和图案化工艺来掩蔽衬底的区域,以使用不同的化学和工艺条件来蚀刻不同深度的通孔。这些工艺降低了产量并降低了制造工艺的效率。
本文提供了在不使氧化物-氧化物界面劣化的情况下形成3D NAND结构的方法和装置。该方法和装置通过在阶梯状图案的氮化物层的暴露的水平部分上沉积氮化硅(SiN)以形成SiN垫来避免钨字线的穿通。每个SiN垫可以形成为具有限定的高度或厚度。相对于阶梯状图案的氧化物层的暴露的侧壁,SiN在氮化物层的暴露的水平部分上的沉积是选择性的。可以通过各向同性侧壁去除工艺来蚀刻无意中沉积在氧化物层的暴露的侧壁表面上的材料。分别由含氢或含氟气体(例如H2(气体)或NF3(气体))产生的蚀刻剂被输送到容纳3DNAND结构的反应处理室中。SiN的选择性沉积和SiN的各向异性刻蚀可以作为顺序工艺执行,其中沉积发生在刻蚀之前,或者作为并行工艺执行。阶梯状图案的氮化物层以及在每个氮化物层上形成的SiN垫一起相对于氧化物层被选择性地蚀刻,以形成与SiN垫的位置相对应的水平间隙和空白区域。钨填充水平间隙和空白区域,以形成钨字线和在字线上的垫。每个着陆垫具有足够的厚度以用作蚀刻停止层或保护性阻挡层,以防止互连件穿透钨字线。
图10是根据一些实施方案执行的方法的操作的工艺流程图。图10所示的内容导致在操作1014处形成着陆垫。每个着陆垫的厚度提供了持续的保护,以防止互连件穿通与着陆垫在操作1014处一起形成的字线。在一些实施方案中,操作1002和1004可以分别与先前在图1中呈现的操作182和184相同或相似。在操作1006中,在衬底上形成阶梯状图案。操作1006可以与如上所述的图1的操作186相同或相似。
在操作1008a中,在操作1010中在阶梯状图案上沉积氧化物之前,先通过高密度等离子体化学气相沉积法(HDP CVD)在每个氮化物层处将SiN定向沉积在阶梯状图案的氮化物层的暴露的水平表面上。相对于氧化物层的暴露的侧壁表面选择性地进行沉积。在最佳操作条件下,选择性沉积将导致没有SiN沉积在氧化物层的暴露的侧壁表面上。因此,SiN材料沉积在每个氮化物层的暴露的水平表面上以在其上形成SiN垫,其中每个SiN垫可以具有限定的高度。
使用含硅反应物和含氮共反应物通过HDP CVD进行图10中SiN的选择性沉积。含硅反应物的实例包括硅烷(SiH4)和乙硅烷(Si2H6)。含氮共反应物的实例包括氮分子(N2)和氨(NH3)。
可以在操作1008a中使含硅反应物和含氮共反应物流入HDP CVD处理装置中的反应处理室中,以根据需要反应而形成用于沉积的SiN。可以在约100℃至约700℃之间,或约150℃至约400℃之间的合适沉积温度下,例如在约400℃下沉积SiN。示例室压强可以在约1托和约10托之间,或在约1.5托和约7托之间。尽管本质上是定向的以瞄准氮化物层的暴露的水平表面,但是在一些实施方案中,在操作1008a中进行的沉积可以导致一些SiN材料沉积在氧化物层的暴露的、竖直定向的侧壁表面上。可以与在操作1008a中所述的沉积同时或完成该沉积之后顺序地使蚀刻剂流入沉积室中,以如操作1008b中所述的并且如图12至14B所示的各向同性蚀刻被沉积在暴露的侧壁表面上的SiN。在一些实施方案中,用于蚀刻的工艺条件可以包括先前在图1的操作190所描述的那些条件中的任何条件,或者上述的如在操作1008a中进行的用于沉积的条件。在一些实施方案中,沉积在氧化物层的暴露的侧壁表面上的SiN被完全地或以足够的量被去除,使得其不能形成连续的膜以防止在操作1014中在钨间隙填充时在钨字线层之间的电短路,下面将进一步详细描述。
在操作1008a和1008b之后,将氧化物(也称为氧化物填料)沉积在阶梯状图案上,包括在操作1010处形成在氮化物层上的SiN垫。在操作1012中,相对于氧化物层和氧化物填料选择性地蚀刻氮化物层,该氮化物层具有从每个氮化物层延伸的SiN垫,以在阶梯状图案中的氧化物层之间产生类似于图6A和6B所示的水平间隙。随后在操作1014中通过间隙填充操作用钨填充水平间隙,以形成钨字线并用含钨的着陆垫代替SiN垫。如图14B所示,在字线上形成着陆垫,例如在字线140上形成着陆垫182。可以使用如以上参照图1的操作192所述的任何技术或工艺条件来执行该操作。在形成钨字线的过程中,在操作1014期间,通过蚀刻SiN垫产生的空白区域被钨填充,以在钨字线上形成着陆垫。
然后,在操作1016中,接着蚀刻氧化物122以形成通孔。例如与图8所示类似,竖直穿过氧化物蚀刻通孔以接触并终止于从字线延伸的着陆垫。因此,多个通孔延伸到形成在阶梯状图案上的着陆垫中的每一个。与在阶梯状图案的顶部附近形成较短的通孔以接触字线所需的时间相比,在阶梯状图案的底部附近形成较长的通孔以接触字线可能需要相对较长的蚀刻持续时间。因此,形成延伸到阶梯状图案的底部附近的字线的长通孔所需的延长的蚀刻持续时间可能导致旨在阶梯状图案的顶部处接触字线的通孔穿通字线。图10中所示的工艺通过在每个字线上形成着陆垫来防止这种通孔穿过字线(例如,位于阶梯状图案的上层附近的字线)的穿通。每个着陆垫都提供了附加材料,给定的通孔在穿通上面形成有着陆垫的字线之前必须穿过该额外的材料。
在操作1018,将钨沉积到通孔中以形成互连件,该互连件延伸穿过氧化物填料以接触着陆垫。在操作1020处,除了提供保护以防止通孔穿过字线的穿通之外,着陆垫还防止互连件穿过字线的穿通。
结合图11至图15进一步示出和描述了参照图10描述的方法。首先,转向图11,示出了不期望的SiN聚集体172。聚集体172可以由在阶梯状图案的交替氮化物层112上的区域124处的共形或非定向的在氮化物上的氮化物沉积而产生。如图11的放大圆形区域170所示,聚集体172的形状或大小可能由于沉积工艺的缺陷而是不规则的,并且在给定的氮化物层112上朝着下方的氮化物层(如图中区域124下方)上的另一聚集体172延伸。这些不规则的沉积图案可能挤满位于交替的氮化物层112之间的区域126,使得用氧化物122填充区域126更加困难。此外,在一些实施方案中,在聚集体172处的氮化物沉积中的不规则性可能导致在给定氮化物层112上形成的聚集体172与沉积在氮化物层112上的另一聚集体172接触(未在放大区域170中示出),这在随后用钨字线140替换氮化物层112时会导致短路,从而可能使3D NAND器件不能使用。此外,氮化物在暴露的氧化物层侧壁上的可能的沉积也可能导致交替的字线140(图11中未示出)之间的短路。
为了避免如图11所示和上面讨论的在氮化物层112上的聚集体172的潜在接触,并且为了获得理想的氮化物沉积图案,进行HDP CVD来在交替的氮化物层112上的暴露区域174上沉积SiN,以形成如图12所示的SiN垫182。
如本文所使用的HDP CVD不同于等离子体增强化学气相沉积(PECVD)工艺。电感耦合的HDP CVD工艺条件和所得膜与电容耦合的PECVD工艺不同。例如,本文所述的各种HDP反应器在小于约100mTorr的压强下操作,其中等离子体密度大于1011离子/cm3。HDP反应器可以对于线圈以400kHz的等离子体频率并且对于放置晶片的基座以13.56MHz的频率来激励等离子体。在电容耦合等离子体反应器中,13.56MHz的等离子体频率用于在其施加到喷头或基座并且400kHz的等离子体频率施加到喷头或基座时生成等离子体。HDP反应器中的离子能量可以大于PECVD反应器中的离子能量。结果,在HDP CVD反应器中沉积的膜的膜组成和特性与在PECVD反应器中沉积的膜的膜组成和特性不同。
如在图10中的操作1008a和1008b中介绍和讨论的那样,可以通过使含硅前体和氮源流入HDP CVD室,形成如图12所示的要在暴露的水平氮化物表面174上沉积以形成SiN垫182的SiN。含硅前体的一个示例是硅烷(SiH4)。氮源的示例是氮气(N2)和氨气(NH3)。
在一些实施方案中,可以进行HDP CVD以瞄准阶梯状图案的氮化物层112上的暴露的水平表面174以在其上沉积SiN。因此,如图12所示,在阶梯状图案的每个暴露的水平表面174上形成一个SiN垫182。在一些实施方案中,进行HDP CVD以沉积SiN,使得SiN垫的深度约为在交替的氮化物层112中的每个氮化物层的一半,每个氮化物层的厚度约为25nm至35nm。因此,在一些实施方案中,SiN垫182将具有在13nm至18nm范围内的高度176。然而,如图12所示,经由HDP CVD进行的沉积工艺仍可能在暴露的氧化物层侧壁128上导致一些潜在的不希望有的SiN沉积,这可能导致交替的字线140之间的短路。
为了解决在氧化物层侧壁128上的SiN沉积,执行各向同性蚀刻以蚀刻在区域130处的在侧壁128上沉积的SiN,如图12和13所示。在一些实施方案中,各种气体可以流到等离子体发生器中,其用于形成蚀刻剂,蚀刻剂包括自由基,例如原子氟、氟(F-)离子或分子氟(F2)。这样的气体可以包括含氢气体(例如,H2或NH3)和含氟气体(例如,F2或NF3)中的一者或两者,其形成蚀刻剂物质,该蚀刻剂物质被输送到反应室以选择性地蚀刻在区域130处的在氧化物层111的侧壁128上沉积的SiN。相对于形成在氮化物层112的暴露的水平表面174上的SiN垫182上执行蚀刻,以去除侧壁128上积聚的一些或全部SiN(如图14A,14B和15所示),以因此降低或消除字线140之间的短路(例如当用钨(W)代替氮化物层112以形成字线140时)的可能性。
含氟的等离子体相对于氧化物选择性地蚀刻氮化物,并且在一些实施方案中可以用于蚀刻沉积在侧壁128上的SiN氮化物。在一些实施方案中,可以通过各向同性氢(H2)气体蚀刻来去除侧壁128以保留沉积在表面174上以形成SiN垫182的SiN,如图13所示。但是,在任何蚀刻工艺(例如,基于氟(F)或基于氢(H))中包含氧气O2都是不可取的,因为O2的应用可以将暴露的氮化物表面174转换成氧化物表面,从而潜在地降低蚀刻速率。
用于进行蚀刻工艺的反应室内温度的变化可能会影响蚀刻速率。蚀刻工艺可以在或非常接近沉积温度,即约80℃至150℃的温度下进行,其中处理室温度的显著变化可能会影响蚀刻结果。而且,可以将偏置施加到衬底100上,以将蚀刻剂物质吸引到沉积的SiN材料上。
如图10所示的操作1008a和1008b,即选择性地沉积SiN以形成SiN垫182和各向同性刻蚀在侧壁128上沉积的SiN,可以顺序地执行,其中在操作1008a处的沉积发生在操作1008b的蚀刻之前,或如图10所示同时进行。对于同时进行的应用,沉积化学物和蚀刻化学物可同时流入反应处理室,以在氮化物层112的暴露表面上沉积SiN,从而在每个氮化物层112上形成一个SiN垫182,同时选择性地蚀刻沉积在氧化物层111的侧壁128上的SiN。另外,在一些实施方案中,沉积和蚀刻工艺可以仅发生一次,也就是说,这种工艺并非意指循环性的。
在暴露的水平表面174上沉积SiN以形成SiN垫182,同时各向同性蚀刻沉积在侧壁128上的SiN,这具有各种优点。例如,可以减少总的工艺完成时间。在一些实施方案中,用于产生合适的蚀刻剂物质的气体包括氢或氨。在沉积过程中通常不使用含氟的气体。另外,在一些实施方案中,可以控制含硅前体和含氢蚀刻剂的量的比例,以同时进行沉积与在侧壁128上沉积的SiN的蚀刻。NH3可以用于提供氮,以用于SiN的形成,以及供应氢,以用于同时蚀刻沉积在侧壁128上的SiN。可替代地,在一些实施方案中,含氟气体可以与H2 NH3一起流入反应室。可以调整反应室的工艺条件以成功地在氮化物层112的暴露表面上进行SiN沉积,同时蚀刻在侧壁128上沉积的SiN。
接下来,参考图14A和14B,氮化物层112和沉积在其上的SiN垫182分别相对于衬底上的氧化物蚀刻。如图1所示,基本上按照操作190所述进行蚀刻,以通过使蚀刻剂物质竖直流过ONON堆叠件中的狭缝而去除氮化物层112,使得蚀刻剂物质流入竖直狭缝中并选择性地蚀刻氮化物。可以使用湿法蚀刻工艺选择性地蚀刻氮化物,例如通过将衬底暴露于磷酸(H3PO4)或稀氢氟酸(“DHF”)或这些溶液的混合物中进行。例如,图6A示出了具有通过蚀刻氮化物形成的水平间隙132的衬底100的示例性示意图。
在选择性蚀刻氮化物层112中发现的氮化物和SiN垫182之后,将钨沉积到衬底的间隙中以分别形成钨字线140和着陆垫180,如图14B所示。水平间隙(类似于图6A所示的间隙132)被钨填充以形成钨字线。类似地,将由SiN垫182的蚀刻产生的空隙用钨填充,以形成着陆垫180。可以通过任何合适的技术(例如ALD、CVD、PEALD或PECVD)沉积钨,以形成字线140或着陆垫182,这样可以提供足够的停止层,也称为“蚀刻停止层”,以防止互连件穿通到位于与之接触的氮化物层下方的后续氮化物层,如图15所示。
回到图13,示出了SiN在区域130处沉积在氧化物层111的侧壁128上的情况。因此,在如上所述用字线140替换氮化物层112时,侧壁128上的SiN也可以用钨替换,从而有可能导致交替的字线140之间的短路。因此,如上所述的蚀刻工艺可以去除在侧壁128上的SiN,如图14A和14B所示,或者去除足够的SiN,使得在如图13所示的阶梯状图案上的台阶之间没有连续的SiN层,以防止这种短路。
图14A和14B示出了各向同性蚀刻工艺已经去除了在侧壁128的区域130上的所有SiN沉积物的情况。因此,通过用钨代替沉积在氧化物层111的侧壁上的SiN而在交替字线140之间发生短路的风险大大减少或完全消除。
类似于参考图8和9大体示出和讨论的那样,竖直蚀刻氧化物122以形成通孔,随后填充钨以形成互连件142,如图15所示。如针对图1的操作194所述,可以通过干法蚀刻来蚀刻氧化物122,所述干法蚀刻通过暴露于以下气体中的任何一种或多种来进行:O2、Ar、C4F6、C4F8、SF6、CHF3、和CF4。
如上所述和图15所示,着陆垫182的形成防止了如图9所示的穿通。每个字线140上的着陆垫182的深度提供了附加的钨,互连件142在穿通至下方字线140之前,必须穿过该附加的钨,如图15所示。
因此,使用着陆垫182极大地降低了穿通状态的可能性。图15中示出了一种场景,其中各向同性蚀刻工艺蚀刻沉积在氧化物层111的侧壁128上的SiN,从而使短路的风险最小化,并且着陆垫182防止蚀刻通孔穿过上字线140,从而防止穿通。因此,根据需要,可以保留ONON堆叠件的总体结构完整性,以进行成功操作。
装置
随着半导体器件尺寸的缩小,制造半导体器件的方法对污染变得越来越敏感。例如,半导体器件通常在反应器或室中制造,该反应器或室包括室部件和由诸如铝之类的金属材料制成的室壁。尽管某些半导体器件制造工艺不涉及等离子体,但在某些实现等离子体的工艺中,沉积过程中暴露于原位等离子体的室部件可能会剥落和脱落,从而增加了使金属颗粒掺入沉积在晶片上的膜上的风险。例如,“高密度等离子体化学气相沉积”(HDPCVD)***生成用于集成电路应用(例如介电膜沉积和间隙填充)的高密度等离子体。某些***实现由在顶部球顶上的低频射频(LFRF)功率供电的电感耦合等离子体,并实现由在静电卡盘(ESC)上的高频(HF)功率供电的电容耦合等离子体。气体在处理过程中被离子化并加速流向ESC上的晶片。HDP CVD处理可在沉积过程中同时进行溅射蚀刻,以使得能对高深宽比的沟槽进行间隙填充。HDP CVD***的部件当暴露于在处理过程中使用的等离子体时,可能容易剥落和脱落。
如上所述,本文使用的HDP CVD不同于PECVD。HDP CVD工艺条件和所得膜与电容耦合PECVD工艺不同。例如,本文所述的各种HDP反应器在小于约100mTorr的压强下操作,且等离子体密度大于1011离子/cm3。HDP反应器可以对于线圈以400kHz的等离子体频率并且对于放置晶片的基座以13.56MHz的频率来激励等离子体。在电容耦合等离子体反应器中,13.56MHz的等离子体频率用于在其施加到喷头或基座并且400kHz施加到喷头或基座时生成等离子体。HDP反应器中的离子能量可以大于PECVD反应器中的离子能量。结果,在HDPCVD反应器中沉积的膜的膜组成和特性与在PECVD反应器中沉积的膜的膜组成和特性不同。
可以在诸如上述图16所示的室之类的室中进行公开的实施方案。尽管在图16中描绘了高密度等离子体化学气相沉积(HDP CVD)室,但是在本文所述的各种实施方案中可以使用用于沉积膜的其他反应器或工具。
图16是适合于执行公开的实施方案的HDP CVD反应器的实例的示意图。反应器1601包括处理室1603,其包围反应器的其他部件并用于容纳等离子体。在一些实现方式中,处理室壁由铝、氧化铝或其他合适的材料制成。图16所示的实施方案具有两个等离子体源:顶部射频(RF)线圈1605和侧面RF线圈1607。顶部RF线圈1605是中频或MFRF线圈,而侧面RF线圈1607是低频或LFRF线圈。在图16所示的实施方案中,MFRF频率可以为430-470kHz,LFRF频率可以为340-370kHz。然而,公开的实施方案不限于在具有双源的反应室中的操作,也不限于在具有RF等离子体源的反应室中的操作。可以使用任何合适的一个或多个等离子体源。
在反应器内,晶片基座1609支撑工件1611。工件1611可以是PEC或晶片。晶片基座1609包括卡盘(有时称为夹具)以将晶片保持(或夹持)在适当位置。在各种工艺中,卡盘可用于将物体夹在基座上。卡盘可以是ESC、机械卡盘或可用的各种其他类型的卡盘。包括用于向晶片基座1609供应气体或传热液体的管线1613的气体输送子***控制工件1611的温度。晶片基座1609和气体输送子***可有助于维持适当的晶片温度。
HFRF源1615的高频RF用于在各种工艺中在工件1611上施加电偏置并将带电的气体物质吸引到工件1611上。来自源1615的电能经由例如电极或电容性耦合而耦合至工件1611上。注意,施加到工件1611的偏置可以不是RF偏置。也可以使用其他频率和直流偏置。
通过一个或多个入口1617引入处理气体。所述气体可以预混合或不预混合。可以通过有孔的气体供应入口机构引入处理气体。在一些实施方案中,所述孔中的至少一些使处理气体沿着与工件1611的暴露表面呈锐角相交的喷射轴线定位。此外,可以从主要气体环1621引入处理气体,该主要气体环可以将或者不将气体引向基座。在一些实施方案中,除了主要气体环1621之外,还可以从一个或多个气体环(未示出)引入处理气体。注入器可以连接到主要气体环1621以将气体或气体混合物中的至少一些引导到室中并引向基座。注意,在一些实施方案中,可以不使用注入器、气体环或其他将处理气体引向晶片的机构。由进入室的处理气体引起的声波前锋本身将导致气体向所有方向(包括朝着工件1611的方向)迅速分散。处理气体通过出口1622离开室1603。真空泵(例如涡轮分子泵)通常抽出处理气体并在反应器内保持适当的低压。可以使用控制器1690来控制反应器1601。控制器1690可以包括用于执行本文公开的各种操作的机器可读指令。下面提供关于控制器1690的进一步描述。
如图16所示,反应器1601包括根据公开的实施方案的用于控制操作的控制器1690。控制器1690可用于控制各种参数,例如用于通过入口1613流到基座1609的气体流的流速和压强、基座1609的运动、静电卡盘(ESC)的偏置功率、MFRF线圈1605和MFRF线圈1607的等离子体功率、基座温度、室压强,流向处理室1603的气体流量、工件1611进出室1603的传送以及其他操作。
在一些实施方案中,图16所示的反应器1601是用于处理一个或多个晶片的工具的一部分。在图17中提供了包括一个或多个反应器的工具的示例。图17是根据所公开的实施方案的适于进行多步骤沉积工艺的等离子体处理***的框图。***1700包括传送模块1703,例如在可从加利福尼亚州弗里蒙特的Lam Research Corporation获得的SPEED TM平台上使用的晶片传送***(WTS)。传送模块1703提供清洁、加压的环境,以使诸如晶片之类的工件在各个处理阶段之间移动时被污染的风险最小化。一个或多个HDP CVD模块或处理室1705(例如可从加利福尼亚州弗里蒙特的Lam Research Corporation获得的Lam SPEEDTM反应器)安装在传送模块1703上。蚀刻模块可以是Lam原子层去除(ALR)反应器或Kiyo TM反应器。这些蚀刻反应器可以与沉积反应器安装在相同或分开的平台上。
该***可以任选地包括能够执行PECVD或原子层沉积(ALD)工艺的室1709。室1709可以包括多个站1711、1713、1715和1717,其可以顺序地执行沉积或去除操作或保护性静电卡盘盖(PEC)清洁操作。***1700还包括一个或多个(在这种情况下为两个)晶片源模块1701,晶片在处理之前和之后存储在其中。传送模块1703中的设备(通常为机械臂单元)在安装在传送模块1703上的模块中移动晶片。
晶片通过机械臂在HDP CVD反应器和/或等离子体蚀刻反应器1707之间传送,以分别用于沉积和回蚀处理。机械臂还可在调节层沉积模块和其他室之间传送晶片。在一个实施方案中,在该应用中,单个蚀刻反应器可以以每小时约15-16个晶片(wph)的高生产率支撑两个SPEED沉积模块。在其他实施方案中,两个蚀刻反应器1707可以支撑一个或多个SPEED沉积模块。
所公开的实施方案也可以在没有等离子体蚀刻室的情况下实施。例如,单个室可被配置用于HDP CVD沉积和反应性等离子体蚀刻。例如,Lam SPEED HDP-CVD反应器能够进行调节、沉积和等离子刻蚀,其吞吐量与使用单独的反应器相似。给定本文提供的细节和参数,可以将单个室配置例如等离子体反应器与设备(例如本文所述的各种等离子体源)以进行沉积(HDP CVD)和反应性等离子体蚀刻(例如原位或下游等离子源)。
图17还描绘了用于控制处理工具1700的工艺条件和硬件状态的***控制器1750的实施方案。***控制器1750可以提供用于实现上述工艺的程序指令。程序指令可以控制各种工艺参数,例如DC功率水平、RF偏置功率水平、压强、温度等。根据本文所述的各种实施方案,所述指令可控制参数以调节室并在批量处理晶片之前在PEC上执行沉积操作。
在一些实现方式中,控制器1750是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***类型,控制器1750可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定***或与特定***接口的装载锁的晶片输送
概括地说,控制器1750可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器或***的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实施方案中,***控制器1750控制处理工具1700的所有活动。***控制器1750可以包括一个或多个存储器设备1756、一个或多个大容量存储装置1754以及一个或多个处理器1752。处理器1752可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。***控制器1750执行存储在大容量存储装置1754中、装载到存储器设备1756中并在处理器1752上执行的***控制软件1758。替代地,控制逻辑可以在控制器1750中被硬编码。专用集成电路、可编程逻辑器件(例如现场可编程门阵列或FPGA)等等可以用于这些目的。在下面的讨论中,凡是使用“软件”或“编码”的地方,都可以在其中使用功能上可比较的硬编码逻辑。***控制软件1758可以包括用于控制PEC进出处理室的传送、晶片进出处理室的传送、气体的定时、气体的混合、气体流动的量、室和/或站压强、背面气体流动压强、室和/或反应器温度、晶片温度、偏置功率、目标功率电平、RF功率电平、基座、卡盘和/或感测器位置以及由处理工具1700执行的特定处理的其他参数。***控制软件1758可以以任何适当的方式来配置。例如,可以写入各种处理工具组件子例程或控制对象来控制执行各种处理工具处理所必需的处理工具部件的操作。***控制软件1758可以用任何合适的计算机可读编程语言编码。
在一些实现方式中,控制器1750可以是与***集成、耦合或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器1750可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程参数和/或设置然后从远程计算机传送到***。在一些示例中,控制器1750接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器1750被配置成与该工具类型接口或控制该工具类型。因此,如上所述,控制器1750可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器的示例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
在一些实施方案中,***控制软件1758可以包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。在一些实施方案中,可以采用存储在与***控制器1750相关联的大容量存储装置1754和/或存储器设备1756上的其他计算机软件和/或程序。用于此目的的程序或程序段的示例包括晶片定位程序、工艺气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
晶片定位程序可以包括用于处理工具部件的程序代码,其用于将晶片或PEC装载到基座1718上并控制晶片或PEC与处理工具1700的其他部分之间的间隔。工艺气体控制程序可以包括代码,所述代码用于控制气体组成(例如,如本文所述的调节处理气体、沉积气体、氦气或用于回流的其他气体、载气等)和流率,并且可选地用于使气体在沉积之前流入一个或多个处理站以稳定处理站中的压力。压力控制程序可以包括用于通过调节例如处理站的排放***中的节流阀、进入处理站的气流、在调节操作期间引入PEC背面的气体压强等来控制处理站中的压强的代码。
加热器控制程序可以包括用于控制流向用于加热工件的加热单元的电流的代码。替代地,加热器控制程序可以控制传热气体(例如氦气)向晶片的输送。根据本文的实施方案,等离子体控制程序可以包括用于设置施加到处理电极的RF功率电平和在一个或多个处理站中的偏置的代码。根据本文的实施方案,压力控制程序可以包括用于保持反应室中的压力的代码。
在一些实施方案中,可以存在与***控制器1750相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
在一些实施方案中,由***控制器1750调节的参数可涉及工艺条件。非限制性示例包括工艺气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率等级)、压强、温度等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由***控制器1750的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具300的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
示例***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
结论
尽管为了清楚理解的目的已经相当详细地描述了前述实施方案,但是显然可以在所附权利要求的范围内实施某些改变和修改。应该注意的是,实现这些实施方案的工艺、***和装置有很多替代方式。因此,本文的实施方案被认为是说明性的而不是限制性的,并且实施方案并不限于本文给出的细节。
Claims (15)
1.一种处理用于制造3D NAND结构的半导体衬底的方法,该方法包括:
提供具有以阶梯状图案布置的交替的氧化物层和氮化物层的衬底,其中所述氮化物层中的每一层具有暴露的水平表面;以及
在将氧化物填料沉积到所述阶梯状图案上之前,经由高密度等离子体化学气相沉积(HDP CVD)在所述氧化物层和所述氮化物层上沉积氮化硅(SiN),通过(a)使所述氮化物层的所述暴露的水平表面在每一层暴露于沉积化学物质以形成SiN垫;以及(b)将所述阶梯状图案的所述氧化物层的侧壁暴露于蚀刻剂以相对于所述SiN垫选择性地蚀刻沉积在所述侧壁上的SiN,选择性地蚀刻沉积在所述阶梯状图案的所述氧化物层的侧壁上的SiN,同时保持在水平表面上的SiN沉积基本完整,其中从沉积所述SiN转变到选择性地蚀刻沉积在所述氧化物层的侧壁上的所述SiN包括降低施加到所述衬底的偏置。
2.根据权利要求1所述的方法,其中,所述经由HDP CVD沉积SiN包括:
同时在所述氮化物层的所述暴露的水平面上沉积SiN以形成所述SiN垫,并相对于所述SiN垫选择性地蚀刻沉积在所述阶梯状图案的所述氧化物层的侧壁上的SiN。
3.根据权利要求1所述的方法,其中在将所述阶梯状图案的所述氧化物层暴露于所述蚀刻剂之前,将所述氮化物层的在每一层的所述暴露的水平表面暴露于所述沉积化学物质。
4.根据权利要求1所述的方法,其中,所述蚀刻剂包括由氢气(H2)、含氟(F)气体或其组合中的一种产生的等离子体物质。
5.根据权利要求1所述的方法,其中,经由HDP CVD沉积SiN包括使含硅反应物和含氮反应物流到HDP CVD室中。
6.根据权利要求5所述的方法,其中,经由HDP CVD沉积SiN还包括使氢气(H2)流到所述HDP CVD室中。
7.根据权利要求1所述的方法,其还包括:
用钨字线代替所述氮化物层;以及
用钨着陆垫代替所述SiN垫。
8.根据权利要求7所述的方法,其还包括:
经由HDP CVD在所述氧化物层和所述氮化物层上沉积所述SiN之后,在所述阶梯状图案上沉积所述氧化物填料;
蚀刻所述氧化物填料以在所述氧化物填料中形成竖直通孔,所述竖直通孔延伸至所述钨字线,其中相对于所述钨着陆垫选择性地蚀刻所述氧化物填料;
在所述通孔中沉积钨以形成延伸到所述钨字线的钨互连件。
9.根据权利要求8所述的方法,其还包括:
定位所述钨着陆垫,以防止所述钨字线中的一个或多个被所述通孔中的一个或多个穿通。
10.根据权利要求1所述的方法,其中,所述交替的氧化物层和氮化物层中的每一个的厚度介于10nm和100nm之间。
11.根据权利要求8所述的方法,其中,所述通孔具有变化的深度。
12.一种方法,其包括:
为衬底提供***在氧化物层之间的氮化物层,从而形成阶梯状结构,其中,所述氮化物层的部分被暴露;
在向所述衬底施加偏置的同时,经由高密度等离子体化学气相沉积(HDP CVD)使由含硅反应物和含氮反应物形成的SiN沉积在所述氮化物层的暴露的部分的平坦表面上其中所述含硅反应物和所述含氮反应物的所述沉积是各向异性的;以及
通过相对于所述氮化物层的所述暴露的部分的所述平坦表面上的所述材料选择性地蚀刻沉积在所述侧壁上的所述材料来去除沉积在所述阶梯状结构的所述氧化物层的侧壁上的材料同时保持在平坦表面上的SiN沉积基本完整。
13.根据权利要求12所述的方法,其中蚀刻沉积在所述侧壁上的所述材料包括使所述侧壁暴露于由氢气(H2)、含氟(F)气体或其组合产生的等离子体物质。
14.根据权利要求12所述的方法,其中,所述沉积和所述去除在所述侧壁上沉积的所述材料同时进行。
15.根据权利要求12所述的方法,其中,所述沉积和所述去除在所述侧壁上沉积的所述材料顺序进行。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762541262P | 2017-08-04 | 2017-08-04 | |
US62/541,262 | 2017-08-04 | ||
PCT/US2018/044800 WO2019028136A1 (en) | 2017-08-04 | 2018-08-01 | SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES |
Publications (2)
Publication Number | Publication Date |
---|---|
CN110998790A CN110998790A (zh) | 2020-04-10 |
CN110998790B true CN110998790B (zh) | 2024-07-09 |
Family
ID=65229828
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880050853.2A Active CN110998790B (zh) | 2017-08-04 | 2018-08-01 | 在水平表面上的选择性沉积SiN |
Country Status (6)
Country | Link |
---|---|
US (1) | US10615169B2 (zh) |
JP (1) | JP7344867B2 (zh) |
KR (1) | KR102675485B1 (zh) |
CN (1) | CN110998790B (zh) |
TW (1) | TW201921429A (zh) |
WO (1) | WO2019028136A1 (zh) |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2019161094A (ja) * | 2018-03-15 | 2019-09-19 | 東芝メモリ株式会社 | 半導体メモリ |
JP2022505419A (ja) | 2018-10-26 | 2022-01-14 | ラム リサーチ コーポレーション | 3端子メモリデバイスの自己整列垂直統合 |
WO2020167972A1 (en) * | 2019-02-14 | 2020-08-20 | Entegris, Inc. | Selective deposition of silicon nitride |
KR20220006040A (ko) * | 2019-05-09 | 2022-01-14 | 인텔 코포레이션 | 컨택트 높이 차이가 큰 메모리 응용들을 위한 비전도성 에칭 정지부 구조물들 |
KR20200139526A (ko) | 2019-06-04 | 2020-12-14 | 삼성전자주식회사 | 수직형 메모리 장치 |
US11380697B2 (en) * | 2020-02-25 | 2022-07-05 | Tokyo Electron Limited | Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces |
CN113394086A (zh) * | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
JP2021150392A (ja) * | 2020-03-17 | 2021-09-27 | キオクシア株式会社 | 半導体装置及びその製造方法 |
US11264404B2 (en) | 2020-06-17 | 2022-03-01 | Micron Technology, Inc. | Microelectronic devices including a varying tier pitch, and related electronic systems and methods |
US11398486B2 (en) | 2020-06-17 | 2022-07-26 | Micron Technology, Inc. | Microelectronic devices with tier stacks with varied tier thicknesses, and related methods and systems |
US11715692B2 (en) | 2020-08-11 | 2023-08-01 | Micron Technology, Inc. | Microelectronic devices including conductive rails, and related methods |
US11456208B2 (en) | 2020-08-11 | 2022-09-27 | Micron Technology, Inc. | Methods of forming apparatuses including air gaps between conductive lines and related apparatuses, memory devices, and electronic systems |
US11574870B2 (en) | 2020-08-11 | 2023-02-07 | Micron Technology, Inc. | Microelectronic devices including conductive structures, and related methods |
CN114373677A (zh) * | 2020-10-14 | 2022-04-19 | 长鑫存储技术有限公司 | 半导体结构的制备工艺及半导体结构 |
CN112420717A (zh) * | 2020-11-18 | 2021-02-26 | 长江存储科技有限责任公司 | 三维存储器及其制造方法 |
KR20220113048A (ko) * | 2021-02-05 | 2022-08-12 | 에스케이하이닉스 주식회사 | 반도체 메모리 장치 및 그 제조 방법 |
US11594495B2 (en) * | 2021-03-23 | 2023-02-28 | Micron Technology, Inc. | Microelectronic devices including conductive levels having varying compositions, and related memory devices, electronic systems, and methods |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104979313A (zh) * | 2014-04-08 | 2015-10-14 | 三星电子株式会社 | 具有导电衬垫的半导体器件及三维半导体器件 |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6399489B1 (en) * | 1999-11-01 | 2002-06-04 | Applied Materials, Inc. | Barrier layer deposition using HDP-CVD |
EP1408140A1 (en) | 2002-10-11 | 2004-04-14 | STMicroelectronics S.r.l. | A high-density plasma process for depositing a layer of Silicon Nitride |
JP4376715B2 (ja) | 2004-07-16 | 2009-12-02 | 三洋電機株式会社 | 半導体装置の製造方法 |
US7271110B2 (en) * | 2005-01-05 | 2007-09-18 | Chartered Semiconductor Manufacturing, Ltd. | High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability |
US7211525B1 (en) * | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
JP2008047620A (ja) * | 2006-08-11 | 2008-02-28 | Mitsubishi Heavy Ind Ltd | プラズマ処理方法、及び、プラズマ処理装置 |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
WO2008153674A1 (en) | 2007-06-09 | 2008-12-18 | Boris Kobrin | Method and apparatus for anisotropic etching |
JP2009027134A (ja) * | 2007-06-21 | 2009-02-05 | Tokyo Electron Ltd | Mos型半導体メモリ装置 |
JP5394270B2 (ja) | 2010-01-25 | 2014-01-22 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
US20110207323A1 (en) | 2010-02-25 | 2011-08-25 | Robert Ditizio | Method of forming and patterning conformal insulation layer in vias and etched structures |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
KR101787041B1 (ko) | 2010-11-17 | 2017-10-18 | 삼성전자주식회사 | 식각방지막이 구비된 반도체 소자 및 그 제조방법 |
US8836137B2 (en) * | 2012-04-19 | 2014-09-16 | Macronix International Co., Ltd. | Method for creating a 3D stacked multichip module |
JP2013055136A (ja) | 2011-09-01 | 2013-03-21 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
US8809169B2 (en) | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Multi-layer pattern for alternate ALD processes |
KR20140147086A (ko) * | 2012-02-14 | 2014-12-29 | 노벨러스 시스템즈, 인코포레이티드 | 반도체 디바이스 애플리케이션들을 위한 실리콘 질화물 막들 |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US20140187045A1 (en) * | 2013-01-02 | 2014-07-03 | Applied Materials, Inc. | Silicon nitride gapfill implementing high density plasma |
US8928149B2 (en) | 2013-03-12 | 2015-01-06 | Macronix International Co., Ltd. | Interlayer conductor and method for forming |
TW201522696A (zh) * | 2013-11-01 | 2015-06-16 | Applied Materials Inc | 使用遠端電漿cvd技術的低溫氮化矽膜 |
JP6267953B2 (ja) | 2013-12-19 | 2018-01-24 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
KR20150104817A (ko) | 2014-03-06 | 2015-09-16 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조 방법 |
JP6295130B2 (ja) * | 2014-04-22 | 2018-03-14 | 株式会社日立ハイテクノロジーズ | ドライエッチング方法 |
KR102235046B1 (ko) | 2014-07-02 | 2021-04-05 | 삼성전자주식회사 | 3차원 반도체 메모리 장치 |
US9362186B2 (en) | 2014-07-18 | 2016-06-07 | Applied Materials, Inc. | Polishing with eddy current feed meaurement prior to deposition of conductive layer |
US9391086B1 (en) | 2015-02-23 | 2016-07-12 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device |
US20160268286A1 (en) | 2015-03-11 | 2016-09-15 | Kabushiki Kaisha Toshiba | Method of manufacturing semiconductor device and semiconductor device |
TWI701357B (zh) * | 2015-03-17 | 2020-08-11 | 美商應用材料股份有限公司 | 用於膜沉積的脈衝化電漿 |
US10023956B2 (en) * | 2015-04-09 | 2018-07-17 | Lam Research Corporation | Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems |
JP6498022B2 (ja) * | 2015-04-22 | 2019-04-10 | 東京エレクトロン株式会社 | エッチング処理方法 |
US10115601B2 (en) | 2016-02-03 | 2018-10-30 | Tokyo Electron Limited | Selective film formation for raised and recessed features using deposition and etching processes |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US20180033614A1 (en) | 2016-07-27 | 2018-02-01 | Versum Materials Us, Llc | Compositions and Methods Using Same for Carbon Doped Silicon Containing Films |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US10504901B2 (en) * | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
US10763108B2 (en) | 2017-08-18 | 2020-09-01 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
-
2018
- 2018-08-01 WO PCT/US2018/044800 patent/WO2019028136A1/en active Application Filing
- 2018-08-01 KR KR1020207006350A patent/KR102675485B1/ko active IP Right Grant
- 2018-08-01 CN CN201880050853.2A patent/CN110998790B/zh active Active
- 2018-08-01 US US16/052,401 patent/US10615169B2/en active Active
- 2018-08-01 JP JP2020505773A patent/JP7344867B2/ja active Active
- 2018-08-02 TW TW107126785A patent/TW201921429A/zh unknown
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104979313A (zh) * | 2014-04-08 | 2015-10-14 | 三星电子株式会社 | 具有导电衬垫的半导体器件及三维半导体器件 |
Also Published As
Publication number | Publication date |
---|---|
JP2020529736A (ja) | 2020-10-08 |
US20190043876A1 (en) | 2019-02-07 |
US10615169B2 (en) | 2020-04-07 |
WO2019028136A1 (en) | 2019-02-07 |
KR20200028490A (ko) | 2020-03-16 |
JP7344867B2 (ja) | 2023-09-14 |
TW201921429A (zh) | 2019-06-01 |
KR102675485B1 (ko) | 2024-06-17 |
CN110998790A (zh) | 2020-04-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN110998790B (zh) | 在水平表面上的选择性沉积SiN | |
US11011388B2 (en) | Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching | |
US11170997B2 (en) | Atomic layer deposition and etch for reducing roughness | |
TWI828619B (zh) | 半導體裝置製造中之氧化錫膜 | |
US10170324B2 (en) | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch | |
US9911620B2 (en) | Method for achieving ultra-high selectivity while etching silicon nitride | |
US11987876B2 (en) | Chamfer-less via integration scheme | |
CN112640064A (zh) | 用于高深宽比蚀刻的含金属钝化 | |
WO2020096817A1 (en) | Directional deposition in etch chamber | |
US20230298896A1 (en) | Metal-based liner protection for high aspect ratio plasma etch | |
KR20210011493A (ko) | 고 종횡비 구조체들의 효율적인 세정 및 에칭 | |
US20220181141A1 (en) | Etch stop layer | |
WO2020096722A1 (en) | Nitride films with improved etch selectivity for 3d nand integration | |
WO2020028119A1 (en) | Non-selective and selective etching through alternating layers of materials |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |