CN110943022A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN110943022A
CN110943022A CN201910894293.5A CN201910894293A CN110943022A CN 110943022 A CN110943022 A CN 110943022A CN 201910894293 A CN201910894293 A CN 201910894293A CN 110943022 A CN110943022 A CN 110943022A
Authority
CN
China
Prior art keywords
mask
pattern
opc
post
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910894293.5A
Other languages
English (en)
Other versions
CN110943022B (zh
Inventor
黄旭霆
刘如淦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110943022A publication Critical patent/CN110943022A/zh
Application granted granted Critical
Publication of CN110943022B publication Critical patent/CN110943022B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本公开实施例提供一种半导体装置的制造方法。上述制造方法包括对IC布局图案执行光学邻近校正OPC工艺,以产生后OPC布局图案。在一些实施例中,上述制造方法还包括对后OPC布局图案施用掩模工艺校正MPC模型,以产生模拟掩模图案。举例来说,模拟掩模图案被拿来与掩模图案进行比较,其中掩模图案是计算自目标晶圆图案。其后,且基于上述比较,决定MPC工艺的一结果。

Description

半导体装置的制造方法
技术领域
本公开涉及一种掩模工艺校正工艺,特别涉及掩模工艺校正MPC工艺是否将改进特定图案的最终晶圆印刷的指示。
背景技术
电子工业对更小及更快的电子装置的需求不断增加,这些电子装置同时能够支持更多数量的日益复杂及精密的功能。因此,半导体工业中存在制造低成本、高性能及低功率集成电路(integrated circuit,IC)的持续趋势。到目前为止,这些目标已经在很大程度上通过微缩半导体IC尺寸(例如:最小特征尺寸)并借此提高生产效率及降低相关成本来实现。然而,这种微缩亦增加了半导体制造工艺的复杂性。因此,为实现半导体IC及装置的持续进步,需要在半导体制造工艺及技术上存在类似的进步。
仅作为一个范例,IC尺寸的微缩已通过扩展给定的光刻世代(lithographygeneration)可用分辨率(usable resolution)而实现,其中可用分辨率的扩展是通过使用一或多种分辨率增强技术(resolution enhancement technology,RET)为的,例如相移掩模(phase shift mask,PMS)、偏轴照射(off-axis illumination,OAI)、以及光学邻近校正(optical proximity correction,OPC)。然而,由于掩模制作工艺期间各种不同的制造因素,形成于掩模上的掩模图案可能会不同于所希望的掩模布局。为了补偿在掩模制作工艺期间所引入的缺陷,可以在OPC工艺之后,施用掩模工艺校正(mask process correction,MPC)。通过使用MPC,写入的掩模图案应与后OPC(post-OPC)掩模布局匹配(match)。然而,采用MPC工艺可能不一定会有所帮助,举例来说,因为OPC模型可能已经补偿了一些掩模邻近效应(mask proximity effect),且因为OPC及MPC模型本身都存在误差。因此,现有技术并非在所有方面都被证明是完全令人满意的。
发明内容
本公开实施例提供用于制造半导体装置的一种方法。上述方法包括对一集成电路(IC)布局图案执行光学邻近校正(OPC)工艺,以产生后OPC布局图案。在一些实施例中,上述方法还包括对后OPC布局图案施用掩模工艺校正模型(MPC model),以产生模拟掩模图案。举例来说,模拟掩模图案被拿来与计算自目标晶圆图案的掩模图案进行比较。其后,且基于上述比较,决定掩模工艺校正(MPC)工艺的一结果。
本公开实施例提供用于制造半导体装置的一种方法。上述方法包括提供一后光学邻近校正(OPC)布局图案,并基于上述后OPC布局图案以及使用一后OPC校正工艺,以模拟第一掩模图案。在一些实施例中,上述方法还包括基于来自一物理测量的图案化晶圆的数据以及使用不具掩模角圆化条件的光学邻近校正模型,以模拟第二掩模图案。在多种案例中,模拟的第一掩模图案被拿来与模拟的第二掩模图案进行比较。在一些实施例中,且基于上述比较,决定上述后OPC校正工艺是否将改进最终晶圆图案。
本公开实施例提供用于制造半导体装置的一种方法。上述方法包括使用一后光学邻近校正(OPC)布局图案制造一掩模,并执行上述掩模的关键尺寸(CD)-扫描式电子显微镜(SEM)测量,以提供一经关键尺寸-扫描式电子显微镜测量的掩模图案。在一些实施例中,上述方法还包括提供一经关键尺寸-扫描式电子显微镜测量的晶圆图案。举例来说,使用不具掩模角圆化条件的光学邻近校正模型,以对上述经关键尺寸-扫描式电子显微镜测量的晶圆图案执行反向工艺,其中反向工艺提供计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的一掩模图案。在一些实施例中,上述方法还包括执行一比较操作,将上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案进行比较。并在一些范例中,基于上述比较操作,决定掩模工艺校正(MPC)工艺的一结果。
附图说明
本公开的实施方式从后续实施方式及附图可优选理解。应注意的是,依据产业的标准作法,各种特征并未按比例绘制。事实上,各种特征的尺寸可能任意增加或减少以清楚论述。
图1所示的简化方框图,是集成电路(IC)制造***及相关的IC制造流程的实施例。
图2是根据本公开多种实施方式所示,图1所示的掩模工作室的更加详尽的方框图。
图3所示是在掩模制造之前,使用OPC/MPC工艺修改IC设计布局的方法的流程图。
图3A至图3C所示,是图3的方法的许多阶段中的范例性布局图案。
图4所示是在掩模制造之前,使用OPC/MPC工艺修改IC设计布局的另一种方法的流程图。
图5是根据一些实施例所示,预决定MPC工艺是否将改进最终晶圆图案的方法的流程图。
图5A至图5D是根据一些实施例所示,图5的方法的许多阶段中的范例性布局图案。
图6是根据一些实施例所示,预决定MPC工艺是否将改进最终晶圆图案的替代方法的流程图。
附图标记说明:
100~IC制造***
120~设计工作室
130~掩模工作室
150~IC制造厂
122~IC设计布局
132~掩模数据准备
144~掩模制造
152~生产晶圆
154~R&D晶圆
156~实验分析
160~IC装置
180~掩模设计***
182~处理器
184~***存储器
186~大容量存储装置
188~通信模块
190~掩模
192~第一GDSⅡ文件
194~第二GDSⅡ文件
300~方法
302-324~操作
330-334~图案
400~方法
402-416~操作
500~方法
502~MPC工艺群
504~OPC工艺群
506-524~操作
530-534~图案
536~后OPC晶圆图案
538、540~图案
600~方法
602~CD-SEM测量工艺群
604~OPC工艺群
606-624~操作
具体实施方式
以下的公开提供许多不同实施例或范例,用以实施本公开的不同特征。本公开的各部件及排列方式,其特定范例叙述于下以简化说明。理所当然的,这些范例并非用以限制本公开。举例来说,若叙述中有着第一特征成形于第二特征之上或上方,其可能包含第一特征与第二特征以直接接触成形的实施例,亦可能包含有附加特征形成于第一特征与第二特征之间,而使第一特征与第二特征间并非直接接触的实施例。此外,本公开可在多种范例中重复参考数字及/或字母。该重复的目的为简化及清晰易懂,且本身并不规定所讨论的多种实施例及/或配置间的关系。
进一步来说,本公开可能会使用空间相对术语,例如「在…下方」、「下方」、「低于」、「在…上方」、「高于」及类似词汇,以便于叙述附图中一个元件或特征与其他元件或特征间的关系。除了附图所描绘的方位外,空间相对术语亦欲涵盖使用中或操作中的装置其不同方位。设备可能会被转向不同方位(旋转90度或其他方位),而此处所使用的空间相对术语则可相应地进行解读。
本公开大致上涉及一种掩模工艺校正(mask process correction,MPC)工艺,且特别是涉及提供关于MPC工艺是否将改进特定图案的最终晶圆印刷(final waferprinting)的指示。当前,由于掩模制作工艺期间各种不同的制造因素,因此形成于掩模(mask)上的掩模图案可能会不同于所希望的掩模布局。为了补偿在掩模制作工艺期间所引入的缺陷,可以在OPC工艺之后,施用MPC工艺。因此,在一些范例中,MPC工艺可以等效地被称为后OPC(post-OPC)校正工艺。通过使用MPC,写入的掩模图案应与后OPC掩模布局匹配(match)。然而,采用MPC工艺可能不一定会有所帮助(但可能直到晶圆被图案化后才知道)。举例来说,因为OPC模型可能已经补偿了一些掩模邻近效应(mask proximity effect),且因为OPC及MPC模型本身都存在误差。举例来说,作为掩模邻近效应的结果,OPC模型可能包括掩模角圆化条件(mask corner rounding term),其中这种掩模角圆化条件由MPC工艺处理更为适当。举例来说,掩模布局中的各种形状可能不会以完美的保真度(fidelity)转移到掩模上(例如:在掩模制造期间)。具体来说,掩模布局中的锐角可能在掩模制造期间变得「圆化(rounded)」。这种效应被称为「掩模角圆化」。此外,其他OPC模型条件可被调整,以补偿OPC模型校准(calibration)期间的掩模制造效应,其中这些条件由MPC工艺处理更为适当。更甚者,现有技术并不会提供MPC工艺是否将会改进最终晶圆印刷的指示。
本公开实施例提供优于现有技术的优点,但应理解的是,其他实施例可提供不同的优点,且并非所有优点都必须于本文中讨论,同时并非所有实施例都需要特定的优点。通过所公开的方法,现有MPC工艺的缺点得到了有效的克服。举例来说,本公开实施例提供反向方法(例如:反向光刻技术(inverse lithography technology,ILT)),以将晶圆图案反转为掩模图案(例如:创建反向掩模图案)。出于本讨论的目的,反向掩模图案可包括计算自给定的目标空中影像(target aerial image)或计算自目标图案(例如:晶圆图案(目标晶圆图案))的掩模图案。在一些实施例中,用于将晶圆图案反转为掩模图案的反向方法,使用不具掩模角圆化条件的OPC模型。在一些实施例中,通过将反向掩模图案与使用MPC模型的计算/模拟的掩模图案进行比较,可预先决定MPC工艺是否会改进最终晶圆印刷。通过提供在OPC工艺后所使用的MPC工艺是否将实际改进晶圆印刷的早期指示,可以避免额外的掩模制造及晶圆印刷的时间及成本。于本技术领域技术人员将能轻易理解,本文所述的方法在不脱离本公开的范围的情况下,可被应用于各种半导体布局、半导体装置、以及半导体工艺,以有利地实现与本文描述的那些类似的益处。
图1所示的简化方框图,是集成电路(IC)制造***100及相关的IC制造流程的实施例,其可受益于本公开的多种实施方式。IC制造***100包括多个实体(entity),例如设计工作室120(design house)、掩模工作室130(mask house)、以及IC制造厂150(ICmanufacturer,即制造区(fab)),它们在与制造IC装置160有关的设计、研发、制造周期(manufacturing cycle)及/或服务中彼此互动。多个实体由通信网络所连结,通信网络可为单一网络或各种不同网络,例如内部网络(intranet)及网际网络,且可包括有线及/或无线通信通道。每个实体可与其他实体互动,且可向其他实体提供服务及/或自其他实体接收服务。一或多个设计工作室120、掩模工作室130、以及IC制造厂150可具有相同的拥有者,且可共存于共用设施(common facility)中及使用共用资源。
在多种实施例中,可包括一或多个设计团队的设计工作室120产生IC设计布局122。IC设计布局122可包括为IC装置160的制造而设计的各种几何图案(geometricalpattern)。举例来说,几何图案可对应金属、氧化物或半导体薄层的图案,这些金属、氧化物或半导体薄层构成将被制造的IC装置160的各种组件。各种薄层被组合以形成IC装置160的各种特征。举例来说,IC设计布局的各个部分可包括的特征例如主动区(active region)、栅极电极(gate electrode)、源极及漏极区(source and drain region)、金属互连(metalinterconnect)的金属线或通孔(via)、用于焊垫(bond pad)的开口、以及于本技术领域现有的形成于半导体基板(例如:硅晶圆)中的其他特征和设置于半导体基板上的各种材料层。于多种实施例中,设计工作室120执行设计程序以形成IC设计布局122。设计程序可包括逻辑设计、实体设计(physical design)、及/或布局布线(place and route)。IC设计布局122可呈现于一或多个数据文件中,数据文件具有与将用于制造IC装置160的几何图案有关的信息。在一些范例中,IC设计布局122可表示为开放式原图***交换标准(Open ArtworkSystem Interchange Standard,OASIS)文件格式、图形数据库***Ⅱ(GDSⅡ)文件格式、或是DFⅡ文件格式。
在一些实施例中,设计工作室120可将IC设计布局122传送到掩模工作室130,举例来说,经由上述网络连接进行传送。接着,掩模工作室130可使用IC设计布局122以制造一或多个掩模,这些掩模将被用于根据IC设计布局122来制造IC装置160的多种薄层。在各种范例中,掩模工作室130执行掩模数据准备132,其中IC设计布局122被转换为可被掩模写入器(mask writer)实体地(physically)写入的形式,掩模工作室130并执行掩模制造144,其中由掩模数据准备132所准备的数据被修改,以遵循特定的掩模写入器及/或掩模制造厂,并接着制造。于图1的范例中,掩模数据准备132及掩模制造144被绘制为个别元件,然而,在其他实施例中,掩模数据准备132及掩模制造144可被统称为掩模数据准备。
在一些范例中,掩模数据准备132包括应用一或多个分辨率增强技术(resolutionenhancement technology,RET),以补偿潜在的光刻误差(lithography error),例如可能由绕射(diffraction)、干涉(interference)或其他工艺效应引起的光刻误差。在一些范例中,可使用光学邻近校正(optical proximity correction,OPC)以根据周围几何形状的密度调整线宽(line width)、在线段的末端加入「犬骨(dog-bone)」端帽(end-cap)以防止线段末端缩短、校正电子束(electron beam,e-beam)邻近效应、或用于其他目的。举例来说,OPC技术可加入次分辨率辅助特征(sub-resolution assist feature,SRAF),例如可包括根据光学模型或规则,将散射条(scattering bar)、衬线(serif)、及/或锤头(hammerhead)加入IC设计布局122,使得在光刻工艺后,晶圆上的最终图案被改进,并具有增强的分辨率及精密度(precision)。掩模数据准备132亦可包括其他分辨率增强技术,例如偏轴照射(off-axis illumination,OAI)、相移掩模(phase shift mask,PMS)、其他合适的技术、或其组合。
掩模数据准备132可进一步包括掩模工艺校正(mask process correction,MPC),被用于校正掩模制作工艺期间所引入的误差。举例来说,MPC可被用于校正掩模制作工艺效应,例如模糊(fogging)、显影与蚀刻负载(loading)、以及电子束邻近效应。在一些范例中,MPC工艺修改后OPC设计布局,以补偿可能在掩模制造144期间遇到的局限(limitation)。
在一些实施例中,掩模数据准备132可进一步包括光刻工艺检查(lithographyprocess checking,LPC),模拟将由IC制造厂150执行以制造IC装置160的工艺。光刻工艺检查(LPC)可基于IC设计布局122模拟这个工艺,以创建模拟制造的装置,例如IC装置160。光刻工艺检查(LPC)模拟中的工艺参数可包括与IC制造周期的各种工艺有关的参数、与用于制造IC的机台(tool)有关的参数、及/或与制造工艺的其他方面有关的参数。举例来说,光刻工艺检查(LPC)可考虑各种因素,例如空中影像对比(aerial image contrast)、焦点深度(depth of focus,DOF)、掩模误差增强因素(mask error enhancement factor,MEEF)、其他合适的因素、或其组合。
在一些实施例中,在通过光刻工艺检查(LPC)创建模拟制造的装置后,若模拟装置布局在形状上不够接近以满足设计规则,则掩模数据准备132中的某些操作(例如:OPC及MPC)可重复,以进一步改良IC设计布局122。
应理解的是,上述掩模数据准备132已被简化,以使说明清晰易懂,且数据准备可包括附加特征,例如根据制造规则修改IC设计布局的逻辑操作(logic operation,LOP)。因此,在掩模数据准备132期间施用于IC设计布局122的工艺,可以用各种不同的顺序执行。
在掩模数据准备132的后以及掩模制造144期间,可基于修改后的IC设计布局制造掩模或掩模块。举例来说,使用电子束或多重电子束机制,以基于修改后的IC设计布局在掩模(掩模或标线片(reticle))上形成图案。可使用各种技术形成掩模。在一个实施例中,使用二元技术(binary technology)形成掩模。在一些实施例中,掩模图案包括不透明(opaque)区及透明区。诸如紫外光(ultraviolet,UV)束的辐射束,被用于曝光涂布于晶圆上的辐射敏感(radiation-sensitive)材料层(例如:光刻胶),辐射束被不透明区阻挡并穿透透明区。在一个范例中,二元掩模包括透明基板(例如:熔融石英(fused quartz)),以及涂布于掩模的不透明区的不透明材料(例如:铬)。在一些范例中,使用相移技术形成掩模。在相移掩模(phase shift mask,PSM)中,形成于掩模上的图案中的各种特征,被配置为具有预先配置的相位差(phase difference)以增强影像分辨率及成像品质。在各种实施例中,相移掩模可为衰减式(attenuated)PSM或交错式(alternating)PSM。
在一些实施例中,IC制造厂150(例如:半导体晶圆厂(foundry))使用掩模工作室130所制造的掩模(或多个掩模),以将一或多个掩模图案转移到生产晶圆152上,并因此在生产晶圆152上制造IC装置160。IC制造厂150可包括IC制造设施,IC制造设施可包括用于制造各种不同IC产品的无数制造设施。举例来说,IC制造厂150可包括用于多个IC产品的前端制造(front end fabrication)的第一制造设施(即前段制造(front-end-of-line,FEOL)),而第二制造设施可为IC产品的互连及封装(packaging)提供后端制造(即后段制造(back-end-of-line,BEOL)),且第三制造设施可为晶圆代工业务提供其他服务。在各种实施例中,内部及/或上方制造有IC装置160的半导体晶圆(即生产晶圆152),可包括硅基板或其上形成有材料薄层的其他基板。其他基板材料可包括另一种合适的元素半导体,例如钻石或锗(germanium)、合适的化合物半导体,例如碳化硅(silicon carbide)、砷化铟(indium arsenide)或磷化铟(indium phosphide)、或是合适的合金半导体,例如碳化硅锗(silicon germanium carbide)、磷化镓砷(gallium arsenic phosphide)或磷化镓铟(gallium indium phosphide)。在一些实施例中,半导体晶圆可进一步包括不同掺杂区域、介电特征、以及多级互连(multilevel interconnect,形成于后续的制造操作中)。此外,掩模(或多个掩模)可被用于各种工艺中。举例来说,掩模(或多个掩模)可被用于离子布植(ion implantation)工艺以在半导体晶圆中形成不同掺杂区域、用于蚀刻工艺以在半导体晶圆中形成各种蚀刻区域、及/或用于其他合适的工艺。
与本文所公开的实施例相比,一些其他技术可包括IC制造厂150使用由掩模工作室130所制造的掩模(或多个掩模),将一个或多个掩模图案转移到研发(R&D)晶圆154上。在R&D晶圆154的光刻工艺后,R&D晶圆154可接着被传送到测试实验室(例如:度量衡(metrology)实验室或参数测试实验室)以进行实验分析156(empirical analysis 156)。因此,可以收集来自R&D晶圆154的实验数据,包括实验掩模图案的评估。在各种范例中,实验掩模图案数据可接着被传送到掩模工作室130,其中IC设计布局122可基于实验数据进行进一步的修改。在各种情况下,图案设计、工艺及实验数据的收集是一个劳动密集且耗时的过程,这会给技术开发周期带来不必要的延迟,且它不是在每次遇到新的布局设计及/或新的单一布局特征时,都可以重复的过程。替代地,如将于下文详细叙述的,本公开实施例提供掩模工艺校正(mask process correction,MPC)工艺,并具体地提供MPC工艺是否将改进特定图案的最终晶圆印刷的指示,而不必处理昂贵且导致技术开发周期延迟的R&D晶圆及实验数据收集。
现在参照图2,图2根据本公开多种实施方式,提供图1所示的掩模工作室130的更加详细的方框图。于图2的范例中,掩模工作室130包括掩模设计***180,可***作以执行与图1的掩模数据准备132有关的功能,以及与图5及图6的方法500及600有关的功能(将于描述于下文)。掩模设计***180为信息处置***(information handling system),例如电脑、服务器、工作站(workstation)、或其他合适的装置。掩模设计***180包括通信耦接至***存储器184的处理器182、大容量存储装置186、以及通信模块188。***存储器184为处理器182提供非挥发性(non-transitory)、电脑可读取的(computer-readable)存储,以便于处理器执行电脑指令。***存储器184的范例可包括随机存取存储器(random accessmemory,RAM)装置,例如动态随机存取存储器(dynamic RAM,DRAM)、同步动态随机存取存储器(synchronous DRAM,SDRAM)、固态存储器装置(solid state memory device)、及/或本技术领域现有的各种不同存储器装置。电脑程序、指令及数据存储于大容量存储装置186中。大容量存储装置186的范例可包括硬盘(hard disc)、光盘(optical disk)、磁光盘(magneto-optical disc)、固态存储装置、及/或各种其他大容量存储装置。通信模块188可***作以将诸如IC设计布局文件的信息与IC制造***100中的其他组件(例如:设计工作室120)通信。通信模块188的范例可包括以太网络卡(Ethernet card)、802.11WiFi装置、蜂巢式数据无线电设备(cellular data radio)、及/或本技术领域现有的其他合适装置。
在操作中,掩模设计***180被配置以在IC设计布局122通过掩模制造144被转移到掩模190之前,根据各种设计规则及限制操纵(manipulate)IC设计布局122。举例来说,在一个实施例中,包括ILT、OPC、MPC及LPC的掩模数据准备132,可被实施为在掩模设计***180上执行的软件指令。于此实施例中,掩模设计***180自设计工作室120接收包括IC设计布局122的第一GDSⅡ文件192。在掩模数据准备132完成后,在一些实施例中可以在完成图5及图6的方法500及/或方法600之后,掩模设计***180将包括修改后的IC设计布局的第二GDSⅡ文件194传送到掩模制造144(即传送到掩模制造者(mask fabricator))。在替代实施例中,IC设计布局可以以诸如DFⅡ、CIF、OASIS或任何其他合适的文件类型的替代文件格式,在IC制造***100中的组件之间传送。此外,在替代实施例中,掩模设计***180与掩模工作室130可包括额外的及/或不同的组件。
为了向后续的讨论提供附加的文章脉络,图3及图4提供了利用OPC及/或MPC工艺的一些工艺流程。举例来说,图3显示方法300的流程图,示出了在掩模制造的前使用OPC/MPC工艺修改IC设计布局的简化方法。在一些范例中,方法300可在图1所示的掩模工作室130的掩模数据准备132中实施。方法300始于操作302,操作302提供布局设计。出于讨论的目的,于操作302处所提供的布局设计可包括图案330,如图3A所示。方法接着进行到操作304,在操作304中,OPC工艺被执行。在一些范例中,在执行OPC工艺(操作304)之前,会提供并校准OPC模型(操作316、操作318)。在各种范例中,OPC工艺被用于最佳化所提供的布局设计(例如:图案330),使得掩模制造144可提供所欲获得的晶圆目标设计。举例来说,后OPC布局设计可包括图案332,如图3B所示。在一些情况下,OPC工艺利用包括掩模角圆化条件的OPC模型。在各种实施例中,且无论OPC模型是否包括掩模角圆化条件,OPC模型可包括其他条件,例如光学***条件(例如:照明条件及投影(projection)条件)、光刻工艺条件(例如:光刻胶旋转条件及曝光条件)、光刻胶模型条件、以及其他条件。更具体地,OPC模型中包括的其他条件可包括数值孔径(numerical aperture,NA)、西格马值(sigma value)、照明器形状、薄膜堆叠特性、图案密度、或空中影像斜率(aerial image slope)等。方法接着进行到操作312,其中MPC工艺被执行于后OPC布局(例如:图案332)上,以获得后MPC布局(post-MPC layout)。在本范例中,后MPC布局设计可包括图案334,如图3C所示。于此范例中,后OPC布局设计(图案332)及后MPC布局设计(图案334)略有不同。然而,在一些案例中,后MPC布局设计(图案334)可与后OPC布局设计(图案332)基本相同。在一些范例中,在执行MPC工艺(操作312)之前,会提供并校准MPC模型(操作320、操作322)。在一些实施例中,MPC工艺会最佳化掩模布局,使得最终掩模图案与掩模目标匹配。在各种范例中,后MPC布局接着被用于掩模制造(操作324)。之后,在各种范例中,所制造的掩模模可被用于图案化晶圆。然而,若图案化的晶圆未能满足设计要求,则可能必须修改及重复方法300的各方面(例如:OPC、MPC、掩模制造)。
图4显示方法400的流程图,示出了在掩模制造的前使用OPC工艺修改IC设计布局的另一个简化方法。在一些范例中,方法400可在图1所示的掩模工作室130的掩模数据准备132中实施。方法400始于操作402,操作402提供布局设计,并进入执行OPC工艺的操作404。在一些范例中,在执行OPC工艺的前(操作404),会提供并校准OPC模型(操作406)。执行OPC工艺(操作404)产生后OPC布局(操作408)。在一些方法中,后OPC布局接着被用于制造掩模(操作410)。在制造掩模(操作410)后,方法400进入操作412,其中掩模被用于在晶圆上形成图案(例如:在光刻工艺中)。之后,于操作414,图案化的晶圆被测量(例如:通过扫描式电子显微镜(scanning electron microscope)),以提供后OPC晶圆图案的关键尺寸扫描式电子显微镜(critical dimension-scanning electron microscope,CD-SEM)测量数据(操作416)。在许多案例中,若CD-SEM测量数据并未满足设计要求,则可能必须修改及重复方法400的各方面(例如:OPC、掩模制造、CD-SEM测量)。
与前述技术相比,后续实施例提供关于在OPC工艺后使用的MPC工艺是否将实际改进晶圆印刷的早期指示,进而避免额外的OPC/MPC工艺、掩模制造、晶圆印刷、以及图案测量的时间与成本。现在参考图5,图5示出用于预先决定MPC工艺是否将改进最终晶圆图案的方法500。在一些实施例中,方法500的各实施方式可包括在掩模制造的前修改IC设计布局。在一些实施例中,方法500可以在图1所示的掩模工作室130的掩模数据准备132中实施。附加操作可被提供于方法500的前、的中、或之后,且所述的一些操作可被替换、消除或移动,以用于方法的其他实施例。亦须注意的是,方法500是仅为范例性的,且并不旨于将公开限制为超出所附权利要求中明确记载的内容。
在一些实施例中,方法500包括MPC工艺群502及OPC工艺群504。在各种范例中,MPC工艺群502及OPC工艺群504可被串连执行或同时执行。从MPC工艺群502开始,于操作506,后OPC布局(例如:掩模布局)被提供。举例来说,后OPC布局设计可包括图案530,如图5A所示。在一些范例中,操作506的后OPC布局,可基本上相似或相同于产生自方法400的操作408或产生自方法300的操作304的后OPC布局。在一些实施例中,方法进入操作508,其中MPC模型(经由操作510提供)被施用于后OPC布局(例如:图案530),以执行掩模图案模拟。于操作512产生模拟的掩模图案作为操作508的掩模图案模拟的结果。于本范例中,模拟的掩模图案可包括图案532,如图5B所示。
参照OPC工艺群504,在操作514,后OPC晶圆图案的CD-SEM测量数据被提供(这亦可被称为经CD-SEM测量的后OPC晶圆图案(CD-SEM measured post-OPC wafer pattern))。举例来说,图5C显示了范例性后OPC晶圆图案536的CD-SEM测量数据的图案534。在一些案例中,后OPC晶圆图案536可代表模拟的晶圆图案(例如:使用诸如图案530的后OPC布局所产生的模拟的晶圆图案),而CD-SEM测量数据的图案534代表物理测量图案化晶圆的数据。在一些范例中,操作514的CD-SEM测量数据,可相似或相同于方法400的操作416的CD-SEM测量数据。在一些实施例中,方法500进入操作516,其中经CD-SEM测量的后OPC晶圆图案(例如:图案534)被反转(reverse)。在许多案例中,经CD-SEM测量的后OPC晶圆图案的反转,包括使用不具掩模角圆化条件的OPC模型(经由操作518提供)执行反向工艺(例如:ILT工艺),以将经CD-SEM测量的后OPC晶圆图案转换为模拟的掩模图案的图案538(图5D)。在一些实施例中,另一个模拟的掩模图案的图案540可对应于模拟的后OPC晶圆图案536。应注意的是,当不具掩模角圆化条件的OPC模型被使用以执行反向工艺时,其他OPC模型条件仍可被使用,如上所述。举例来说,OPC模型仍可包括其他条件,例如光学***条件(例如:照明条件及投影条件)、光刻工艺条件(例如:光刻胶旋转条件及曝光条件)、光刻胶模型条件、以及其他条件。举例来说,可使用不具掩模角圆化条件的OPC模型来执行反向工艺,因为希望能获得的是形成于掩模上的实际图案,而不是提供给掩模写入器的掩模布局。在一些实施例中,且作为反转经CD-SEM测量的晶圆图案(操作516)的结果,在操作520处提供了反向掩模图案(掩模图案的图案538)。在一些案例中,举例来说,当使用ILT工艺以执行反向工艺时,后OPC布局、模拟的掩模图案、或是经SEM测量的掩模图案,可被用于限制ILT解决方案(solution)。而且,在一些实施例中,用于反转工艺的OPC模型可相似或相同于方法300的校准的OPC模型(操作316、操作318),然而,对方法500的反向工艺而言,掩模角圆化条件被排除。
因此,在完成MPC工艺群502及OPC工艺群504后,提供了模拟的掩模图案(例如:图5B的图案532)以及反转的掩模图案(例如:图5D的图案538)。接着,方法500进入操作522,其中操作520的反向的掩模图案(例如:图案538)被拿来与操作512的模拟的掩模图案(例如:图案532)进行比较,且比较结果在操作524中作为验证结果(validation result)而被提供。在一些实施例中,上述比较包括比较由每个MPC工艺群502及OPC工艺群504所获得的掩模轮廓(contour)或关键尺寸(CD)。举例来说,且在一些实施例中,若反向的掩模图案与模拟的掩模图案匹配(例如:基本上相同),则决定MPC工艺将实际改进最终晶圆图案。作为结果,可对后OPC布局图案执行MPC工艺,以产生后MPC布局图案。之后,可基于后MPC布局图案制造掩模(例如:通过掩模制造144),且所制造的掩模可被用于图案化晶圆(例如:通过光刻工艺)。或者,倘若反向的掩模图案并未与模拟的掩模图案匹配,则可接着跳过MPC工艺,进而避免额外的工艺时间、成本以及复杂性。
参照显示了方法600的图6,方法600提供了预先决定MPC工艺是否将改进最终晶圆图案的另一种方法。在一些实施例中,方法600的实施方式可包括在掩模制造的前修改IC设计布局。在一些实施例中,方法600的至少一些实施方式可在图1所示的掩模工作室130的掩模数据准备132中实施。附加操作可被提供于方法600的前、的中、或之后,且所述的一些操作可被替换、消除或移动,以用于方法的其他实施例。亦须注意的是,方法600是仅为范例性的,且并不旨于将公开限制为超出所附权利要求中明确记载的内容。
在一些实施例中,方法600包括CD-SEM测量工艺群602以及OPC工艺群604。在各种范例中,CD-SEM测量工艺群602及OPC工艺群604可被串连执行或同时执行。从CD-SEM测量工艺群602开始,在操作606处提供后OPC布局。在一些范例中,操作606的后OPC布局,可基本上相似或相同于方法400的操作408所产生的后OPC布局。在一些实施例中,方法进入操作608,其中后OPC布局(操作606)被用于制造包括各种IC图案的掩模,如上所述。之后,于操作610处,图案化的掩模被测量(例如:通过SEM),以提供掩模图案的CD-SEM测量数据,此处的CD-SEM测量数据亦被称为经CD-SEM测量的掩模图案(操作612)。
在一些实施例中,OPC工艺群604相似于上述参照方法500所讨论的OPC工艺群504。因此,于操作614处,后OPC晶圆图案的CD-SEM测量数据被提供(例如:这亦可被称作经CD-SEM测量的后OPC晶圆图案)。方法600进入操作616,其中经CD-SEM测量的晶圆图案被反转。在许多案例中,经CD-SEM测量的晶圆图案的反转,包括使用不具掩模角圆化条件的OPC模型(由操作618所提供)(仅管其他OPC模型条件仍可被使用)来执行反向工艺(例如:通过ILT工艺),以将经CD-SEM测量的晶圆图案转换为掩模图案。在一些实施例中,且作为反转经CD-SEM测量的晶圆图案(操作616)的结果,在操作620处提供反向掩模图案。
因此,在完成CD-SEM测量工艺群602及OPC工艺群604后,经CD-SEM测量的掩模图案(操作612)及反转的掩模图案(操作620)被提供。方法600接着进入操作622,其中操作620的反转的掩模图案被拿来与操作612的经CD-SEM测量的掩模图案进行比较,且比较结果在操作624中作为验证结果而被提供。在一些实施例中,上述比较包括比较由每个CD-SEM测量工艺群602及OPC工艺群604所获得的掩模轮廓或关键尺寸(CD)。举例来说,且在一些实施例中,若反向的掩模图案与经CD-SEM测量的掩模图案匹配(例如:基本上相同),则决定MPC工艺将不会改进最终晶圆图案,且不需要构建MPC模型并继续进行MPC相关工艺。因此,可避免不必要的成本及开发延迟。因此,若决定MPC工艺将不会改进最终晶圆图案,则将基于后OPC布局图案制造掩模(例如:通过掩模制造144)。
此外,本文所公开的多种实施例,包括方法500及方法600的各实施方式,可在任何合适的计算***上执行,例如关于图2所描述的掩模设计***180。在一些实施例中,方法500及方法600的各实施方式可被执行于单一电脑、区域网络(local area network)、主从式网络(client-server network)、广域网络(wide area network)、网际网络、手持及其他便携式与无线装置以及网络。这种***架构可以采用完全硬件的实施例、完全软件的实施例、或包含硬件及软件元件的实施例的形式。举例来说,硬件可包括至少具有处理能力的平台,例如客户机(client-machine,亦称为个人电脑或服务器)、以及手持处理装置(例如:智能手机、个人数字助理(PDA)或个人计算装置(PCD))。此外,硬件可包括能够存储机械可读指令的任何实体装置,例如存储器或其他数据存储装置。其他形式的硬件包括硬件子***(hardware sub-systems),包括传送装置,例如数据机(modem)、数据机卡、端(port)、端卡,聊举为例。在许多范例中,软件可包括存储于任何存储器媒体(例如:RAM或ROM)中的任何机械编码(machine code),以及存储于其他装置(例如:软碟、快闪存储器、或是CD-ROM,聊举为例)的机械编码。在一些实施例中,软件可包括原始码(source code)或目标码(objectcode),聊举为例。此外,软件可包含能够在客户端机器或服务器中执行的任何指令集。
此外,本公开实施例可采取可自有形(tangible)电脑可用或电脑可读媒体存取的电脑程序产品的形式,该电脑可用或电脑可读媒体提供由电脑或任何指令执行***使用或与的结合使用的程序编码。出于本说明书的目的,有形电脑可用或电脑可读媒体可为可包含、存储、通信、传播(propagate)、或传送程序以供指令执行***、设备、或装置使用或与的结合使用的任何设备。上述媒体可为电子(electronic)、磁(magnetic)、光(optical)、电磁(electromagnetic)、红外光(infrared)、半导体***(或设备或装置)、或是传播媒体(propagation medium)。
在一些实施例中,可提供被定义的数据组织(organization of data),称为数据结构(data structure),以实现本公开的一或多个实施例。举例来说,数据结构可提供数据组织或可执行编码组织。在一些范例中,数据信号可跨一或多个传输媒体传送,并存储及传输各种数据结构,且因此可用于传输本公开的实施例。
本公开实施例提供优于现有技术的优点,但应理解,其他实施例可提供不同的优点,并非所有优点都必须在本文中讨论,且并非所有实施例都需要特定的优点。通过所公开的方法,有效地克服了现有MPC工艺的缺点。举例来说,本公开实施例提供使用反向方法(例如:反向光刻技术,ILT),将晶圆图案反转为掩模图案(例如:创建反向掩模图案)。在一些实施例中,反向方法使用不具掩模角圆化条件的OPC模型。在一些范例中,通过将反向掩模图案与使用MPC模型的计算的掩模图案进行比较,可预先决定MPC工艺是否将改进最终晶圆印刷。通过提供关于在OPC工艺的后使用的MPC工艺是否将实际改进晶圆印刷的早期指示,可以避免额外的掩模制造及晶圆印刷的时间和成本。于本技术领域技术人员将能轻易理解,本文所述的方法可被应用于各种其他半导体布局、半导体装置、以及半导体工艺,以有利地实现与本文所述的益处相似的益处,且不脱离本公开的范围。
因此,本公开其中一个实施例描述用于制造半导体装置的一种方法。上述方法包括对一集成电路(IC)布局图案执行光学邻近校正(OPC)工艺,以产生后OPC布局图案。在一些实施例中,上述方法还包括对后OPC布局图案施用掩模工艺校正模型(MPC model),以产生模拟掩模图案。举例来说,模拟掩模图案被拿来与计算自目标晶圆图案的掩模图案进行比较。其后,且基于上述比较,决定掩模工艺校正(MPC)工艺的一结果。
在一或多个实施例中,上述方法还包括提供一经关键尺寸(CD)-扫描式电子显微镜(SEM)测量的后OPC晶圆图案。且包括使用不具掩模角圆化条件的光学邻近校正模型来对上述经关键尺寸-扫描式电子显微镜测量的后OPC晶圆图案执行反向工艺,其中反向工艺产生计算自目标晶圆图案的掩模图案。在一或多个实施例中,反向工艺包括反向光刻技术工艺。在一或多个实施例中,上述方法还包括使用具有掩模角圆化条件的光学邻近校正模型来执行光学邻近校正工艺。在一或多个实施例中,上述方法还包括在提供上述经关键尺寸-扫描式电子显微镜测量的后OPC晶圆图案之前,先使用后OPC布局图案制造掩模;使用掩模以图案化一晶圆;以及执行上述晶圆的一关键尺寸-扫描式电子显微镜测量,以提供上述经关键尺寸-扫描式电子显微镜测量的后OPC晶圆图案。
在一或多个实施例中,其中将模拟掩模图案与掩模图案进行比较的上述比较操作,包括将每个模拟掩模图案与计算自目标晶圆图案的掩模图案的掩模轮廓或关键尺寸进行比较。在一或多个实施例中,其中对掩模工艺校正工艺的结果的上述决定,包括当每个模拟掩模图案与计算自目标晶圆图案的掩模图案的掩模轮廓或关键尺寸匹配时,决定掩模工艺校正工艺将改进最终晶圆图案。在一或多个实施例中,上述方法还包括在决定掩模工艺校正工艺将改进最终晶圆图案后,对后OPC布局图案执行掩模工艺校正工艺,以产生一后MPC布局图案;以及基于上述后MPC布局图案制造掩模。在一或多个实施例中,上述方法还包括使用基于后MPC布局图案的掩模以图案化晶圆。
在另一个实施例中,所讨论的是用于制造半导体装置的一种方法。上述方法包括提供一后光学邻近校正(OPC)布局图案,并基于上述后OPC布局图案以及使用一后OPC校正工艺,以模拟第一掩模图案。在一些实施例中,上述方法还包括基于来自一物理测量的图案化晶圆的数据以及使用不具掩模角圆化条件的光学邻近校正模型,以模拟第二掩模图案。在多种案例中,模拟的第一掩模图案被拿来与模拟的第二掩模图案进行比较。在一些实施例中,且基于上述比较,决定上述后OPC校正工艺是否将改进最终晶圆图案。
在一或多个实施例中,后OPC校正工艺包括掩模工艺校正(MPC)工艺。在一或多个实施例中,其中对第二掩模图案的模拟操作,包括基于来自上述物理测量的图案化晶圆的数据以及使用不具掩模角圆化条件的光学邻近校正模型,以执行反向光刻技术工艺。在一或多个实施例中,上述方法还包括使用具有掩模角圆化条件的光学邻近校正模型,来对集成电路布局图案执行光学邻近校正工艺,以提供上述后OPC布局图案。在一或多个实施例中,其中比较模拟的第一掩模图案与第二掩模图案的上述比较操作,包括将每个模拟的第一掩模图案与第二掩模图案的掩模轮廓或关键尺寸进行比较。
在一或多个实施例中,其中决定上述后OPC校正工艺将改进最终晶圆图案的上述操作,包括决定每个模拟的第一掩模图案与第二掩模图案的掩模轮廓或关键尺寸匹配。在一或多个实施例中,上述方法还包括在决定上述后OPC校正工艺将改进最终晶圆图案后,对后OPC布局图案执行上述后OPC校正工艺,以产生一经校正布局图案;基于上述经校正布局图案制造掩模;以及使用基于上述经校正布局图案的掩模,以图案化晶圆。
在其他实施例中,所讨论的方法包括使用一后光学邻近校正(OPC)布局图案制造一掩模,并执行上述掩模的关键尺寸(CD)-扫描式电子显微镜(SEM)测量,以提供一经关键尺寸-扫描式电子显微镜测量的掩模图案。在一些实施例中,上述方法还包括提供一经关键尺寸-扫描式电子显微镜测量的晶圆图案。举例来说,使用不具掩模角圆化条件的光学邻近校正模型,以对上述经关键尺寸-扫描式电子显微镜测量的晶圆图案执行反向工艺,其中反向工艺提供计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的一掩模图案。在一些实施例中,上述方法还包括执行一比较操作,将上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案进行比较。并在一些范例中,基于上述比较操作,决定掩模工艺校正(MPC)工艺的一结果。
在一或多个实施例中,反向工艺包括反向光刻技术工艺。在一或多个实施例中,其中将上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案进行比较的上述比较操作,包括将每个上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案的掩模轮廓或关键尺寸进行比较。在一或多个实施例中,其中决定上述掩模工艺校正工艺的上述结果的上述操作,包括当每个上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案的掩模轮廓或关键尺寸不匹配时,决定上述掩模工艺校正工艺将不会改进最终晶圆图案。
前述内文概述多项实施例或范例的特征,如此可使于本技术领域中技术人员优选地了解本公开。本技术领域中技术人员应当理解他们可轻易地以本公开为基础设计或修改其他工艺及结构,以完成相同的目的及/或达到与本文介绍的实施例或范例相同的优点。本技术领域中技术人员亦需理解,这些等效结构并未脱离本公开的构思及范围,且在不脱离本公开的构思及范围的情况下,可对本公开进行各种改变、置换以及变更。

Claims (10)

1.一种半导体装置的制造方法,包括:
对一集成电路布局图案执行一光学邻近校正OPC工艺,以产生一后OPC布局图案;
对上述后OPC布局图案施用一掩模工艺校正MPC模型,以产生一模拟掩模图案;
执行一比较操作,将上述模拟掩模图案与计算自一目标晶圆图案的一掩模图案进行比较;以及
基于上述比较操作,决定一掩模工艺校正工艺的一结果。
2.如权利要求1所述的半导体装置的制造方法,还包括:
提供一经关键尺寸-扫描式电子显微镜测量的后OPC晶圆图案;以及
使用不具掩模角圆化条件的一光学邻近校正模型来对上述经关键尺寸-扫描式电子显微镜测量的后OPC晶圆图案执行一反向工艺,其中上述反向工艺产生计算自上述目标晶圆图案的上述掩模图案。
3.如权利要求2所述的半导体装置的制造方法,还包括:
在提供上述经关键尺寸-扫描式电子显微镜测量的后OPC晶圆图案之前,先使用上述后OPC布局图案制造一掩模;
使用上述掩模以图案化一晶圆;以及
执行上述晶圆的一关键尺寸-扫描式电子显微镜测量,以提供上述经关键尺寸-扫描式电子显微镜测量的后OPC晶圆图案。
4.如权利要求1所述的半导体装置的制造方法,其中将上述模拟掩模图案与上述掩模图案进行比较的上述比较操作,包括将每个上述模拟掩模图案与计算自上述目标晶圆图案的上述掩模图案的掩模轮廓或关键尺寸进行比较。
5.一种半导体装置的制造方法,包括:
提供一后光学邻近校正OPC布局图案;
基于后OPC布局图案以及使用一后OPC校正工艺,以模拟一第一掩模图案;
基于来自一物理测量的图案化晶圆的数据以及使用不具掩模角圆化条件的一第一光学邻近校正模型,以模拟一第二掩模图案;
执行一比较操作,比较模拟的上述第一掩模图案与上述第二掩模图案;以及
基于上述比较操作,决定上述后OPC校正工艺是否将改进一最终晶圆图案。
6.如权利要求5所述的半导体装置的制造方法,还包括:
使用具有掩模角圆化条件的一第二光学邻近校正模型,来对一集成电路布局图案执行一光学邻近校正工艺,以提供上述后OPC布局图案。
7.如权利要求5所述的半导体装置的制造方法,其中比较模拟的上述第一掩模图案与上述第二掩模图案的上述比较操作,包括将每个模拟的上述第一掩模图案与上述第二掩模图案的掩模轮廓或关键尺寸进行比较。
8.如权利要求5所述的半导体装置的制造方法,还包括:
在决定上述后OPC校正工艺将改进上述最终晶圆图案后,对上述后OPC布局图案执行上述后OPC校正工艺,以产生一经校正布局图案;
基于上述经校正布局图案制造一掩模;以及
使用基于上述经校正布局图案的上述掩模,以图案化一晶圆。
9.一种半导体装置的制造方法,包括:
使用一后光学邻近校正OPC布局图案制造一掩模;
执行上述掩模的一关键尺寸-扫描式电子显微镜测量,以提供一经关键尺寸-扫描式电子显微镜测量的掩模图案;
提供一经关键尺寸-扫描式电子显微镜测量的晶圆图案;
使用不具掩模角圆化条件的一光学邻近校正模型,以对上述经关键尺寸-扫描式电子显微镜测量的晶圆图案执行一反向工艺,其中上述反向工艺提供计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的一掩模图案;
执行一比较操作,将上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案进行比较,其中上述掩模图案是计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案;以及
基于上述比较操作,决定一掩模工艺校正MPC工艺的一结果。
10.如权利要求9所述的半导体装置的制造方法,其中将上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案进行比较的上述比较操作,包括将每个上述经关键尺寸-扫描式电子显微镜测量的掩模图案与计算自上述经关键尺寸-扫描式电子显微镜测量的晶圆图案的上述掩模图案的掩模轮廓或关键尺寸进行比较。
CN201910894293.5A 2018-09-21 2019-09-20 半导体装置的制造方法 Active CN110943022B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862734578P 2018-09-21 2018-09-21
US62/734,578 2018-09-21
US16/554,318 2019-08-28
US16/554,318 US10866505B2 (en) 2018-09-21 2019-08-28 Mask process correction

Publications (2)

Publication Number Publication Date
CN110943022A true CN110943022A (zh) 2020-03-31
CN110943022B CN110943022B (zh) 2022-08-09

Family

ID=69884488

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910894293.5A Active CN110943022B (zh) 2018-09-21 2019-09-20 半导体装置的制造方法

Country Status (3)

Country Link
US (1) US10866505B2 (zh)
CN (1) CN110943022B (zh)
TW (1) TWI730418B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112259469A (zh) * 2020-10-21 2021-01-22 上海华力集成电路制造有限公司 半导体器件关键尺寸量测方法及取得sem图像的方法
CN117666276A (zh) * 2024-01-31 2024-03-08 全智芯(上海)技术有限公司 用于掩模工艺校正的方法、电子设备及存储介质
WO2024130877A1 (zh) * 2022-12-22 2024-06-27 东方晶源微电子科技(北京)有限公司 高精度扫描电子显微镜图像轮廓提取方法、装置及设备

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
JP2023524095A (ja) * 2020-04-30 2023-06-08 フォトロニクス・インコーポレイテッド フォトマスクを製造するシステム、方法、及びプログラム製品

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007156027A (ja) * 2005-12-02 2007-06-21 Sharp Corp Lsi用マスクデータ補正方法および半導体製造装置
US20080003510A1 (en) * 2006-06-29 2008-01-03 Sharp Kabushiki Kaisha Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for imporving design rule, mask production method, and semiconductor integrated circuit production method
CN101430502A (zh) * 2007-11-07 2009-05-13 夏普株式会社 掩膜图案校正方法、半导体装置制造方法和半导体装置
US20140123084A1 (en) * 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
US20150012896A1 (en) * 2013-07-08 2015-01-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
CN104570586A (zh) * 2013-10-23 2015-04-29 中芯国际集成电路制造(北京)有限公司 光学邻近修正模型的获取方法
CN106469235A (zh) * 2015-08-21 2017-03-01 台湾积体电路制造股份有限公司 集成电路方法以及集成电路设计***
US9671685B2 (en) * 2009-12-31 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic plane check for mask processing

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
WO2012068323A2 (en) 2010-11-18 2012-05-24 D2S, Inc. Method for matching of patterns
KR101757743B1 (ko) * 2011-03-03 2017-07-17 삼성전자 주식회사 플레어 보정방법 및 euv 마스크 제조방법
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
WO2013158593A1 (en) * 2012-04-18 2013-10-24 Kla-Tencor Corporation Critical dimension uniformity monitoring for extreme ultra-violet reticles
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9195134B2 (en) 2013-08-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit mask patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9583438B2 (en) 2014-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with misaligned metal lines coupled using different interconnect layer
US9928316B2 (en) * 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
KR102441582B1 (ko) * 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
JP6840129B2 (ja) * 2015-08-10 2021-03-10 ケーエルエー コーポレイション ウエハレベル欠陥の転写性を予測する装置および方法
US10395361B2 (en) * 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
KR20170047101A (ko) 2015-10-22 2017-05-04 삼성전자주식회사 Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
US10134124B2 (en) * 2016-08-18 2018-11-20 Dongfang Jingyuan Electron Limited Reference image contour generation
US10656532B2 (en) * 2017-04-13 2020-05-19 Fractilia, Llc Edge detection system and its use for optical proximity correction
KR102336664B1 (ko) * 2017-07-13 2021-12-07 삼성전자 주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007156027A (ja) * 2005-12-02 2007-06-21 Sharp Corp Lsi用マスクデータ補正方法および半導体製造装置
US20080003510A1 (en) * 2006-06-29 2008-01-03 Sharp Kabushiki Kaisha Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for imporving design rule, mask production method, and semiconductor integrated circuit production method
CN101430502A (zh) * 2007-11-07 2009-05-13 夏普株式会社 掩膜图案校正方法、半导体装置制造方法和半导体装置
US9671685B2 (en) * 2009-12-31 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic plane check for mask processing
US20140123084A1 (en) * 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
US20150012896A1 (en) * 2013-07-08 2015-01-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
CN104570586A (zh) * 2013-10-23 2015-04-29 中芯国际集成电路制造(北京)有限公司 光学邻近修正模型的获取方法
CN106469235A (zh) * 2015-08-21 2017-03-01 台湾积体电路制造股份有限公司 集成电路方法以及集成电路设计***

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
徐海洁等: "利用OPC方法提高NAND FLASH CELL WL均匀性", 《电子与封装》 *
杜惊雷等: "灰阶掩模实现光学邻近校正及计算模拟研究", 《光学学报》 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112259469A (zh) * 2020-10-21 2021-01-22 上海华力集成电路制造有限公司 半导体器件关键尺寸量测方法及取得sem图像的方法
WO2024130877A1 (zh) * 2022-12-22 2024-06-27 东方晶源微电子科技(北京)有限公司 高精度扫描电子显微镜图像轮廓提取方法、装置及设备
CN117666276A (zh) * 2024-01-31 2024-03-08 全智芯(上海)技术有限公司 用于掩模工艺校正的方法、电子设备及存储介质

Also Published As

Publication number Publication date
CN110943022B (zh) 2022-08-09
US10866505B2 (en) 2020-12-15
TW202018439A (zh) 2020-05-16
US20200096857A1 (en) 2020-03-26
TWI730418B (zh) 2021-06-11

Similar Documents

Publication Publication Date Title
CN110943022B (zh) 半导体装置的制造方法
US11748549B2 (en) Method and apparatus for integrated circuit mask patterning
US9465906B2 (en) System and method for integrated circuit manufacturing
CN109582995B (zh) 集成电路制造方法及其制造***
US11747786B2 (en) Synchronized parallel tile computation for large area lithography simulation
US8589830B2 (en) Method and apparatus for enhanced optical proximity correction
CN108205600B (zh) 掩模优化方法及集成电路***
CN106469234B (zh) 基于模型的规则表产生
US20140123084A1 (en) System and Method for Improving a Lithography Simulation Model
CN108121151B (zh) 具有任意角的图案的掩模建模方法
CN107885043B (zh) 增进微影可印性的方法
US10520829B2 (en) Optical proximity correction methodology using underlying layer information
US11061318B2 (en) Lithography model calibration
TWI742184B (zh) 目標最佳化方法
US10276375B2 (en) Assistant pattern for measuring critical dimension of main pattern in semiconductor manufacturing
CN110824831A (zh) 改善临界尺寸一致性的方法与***
Liebmann et al. Comprehensive evaluation of major phase-shift mask technologies for isolated gate structures in logic designs
TWI795687B (zh) 用於改良微影光罩之設計之方法、系統及非暫時性電腦可讀媒體
JP2004157160A (ja) プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant