CN110581698A - 数字毛刺滤波器 - Google Patents

数字毛刺滤波器 Download PDF

Info

Publication number
CN110581698A
CN110581698A CN201810593097.XA CN201810593097A CN110581698A CN 110581698 A CN110581698 A CN 110581698A CN 201810593097 A CN201810593097 A CN 201810593097A CN 110581698 A CN110581698 A CN 110581698A
Authority
CN
China
Prior art keywords
signal
self
flip
flop
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810593097.XA
Other languages
English (en)
Inventor
林志雄
谢明钦
朱勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Priority to CN201810593097.XA priority Critical patent/CN110581698A/zh
Priority to US16/237,712 priority patent/US10574218B2/en
Publication of CN110581698A publication Critical patent/CN110581698A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/125Discriminating pulses
    • H03K5/1252Suppression or limitation of noise or interference
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H17/00Networks using digital techniques
    • H03H17/02Frequency selective networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/135Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals by the use of time reference signals, e.g. clock signals

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Mathematical Physics (AREA)
  • Manipulation Of Pulses (AREA)
  • Analogue/Digital Conversion (AREA)

Abstract

本发明提供数字毛刺滤波器,包括:第一触发器,产生过滤后的输出信号;自振荡电路,当过滤后的输出信号与输入信号不相等时产生自振荡信号;第一逻辑门,当过滤后的输出信号与输入信号不相等时第一逻辑门的输出启用自振荡电路;纹波计数器,通过对自振荡信号进行分频产生分频后的时钟信号;计数和比较器,对分频后的自振荡信号计数从而获得计数值,将所获得的计数值与计数目标进行比较;第二触发器,产生有效信号,当计数值达到计数目标时有效信号被激活,当有效信号被激活时过滤后的输出信号发生翻转。

Description

数字毛刺滤波器
技术领域
本发明涉及毛刺滤波器,并且更特别地,涉及用于过滤信号中的毛刺的数字毛刺滤波器。
背景技术
毛刺是输入信号电平偶然且非预期地切换到高态或低态,随后返回到初始状态。数字电路中出现毛刺可能会导致电路故障并导致电路产生乱真输出。
传统的数字毛刺滤波器需要自由运行时钟,由于时钟生成和传播期间持续消耗功率。结果,传统数字毛刺滤波器具有高功耗。
因此,设计具有低功率的毛刺滤波器将是有利的。
发明内容
本发明内容提供了将在具体实施方式中详细说明的简化形式的概念的选择。本发明内容不是为了确定主题的关键特征或必要特征,也不是为了用于限定所主张的主题的范围。
根据本发明的一个方面,提供一种用于过滤输入信号中的毛刺的数字毛刺滤波器,包括:第一触发器,用于产生过滤后的输出信号:自振荡电路,用于当过滤后的输出信号与输入信号不相等时产生自振荡信号;第一逻辑门,用于当过滤后的输出信号与输入信号不相等时启用自振荡电路,并且当过滤后的输出信号与输入信号相等时禁用自振荡电路;连接到自振荡电路的纹波计数器,用于通过对自振荡信号进行分频产生分频后的时钟信号;连接到纹波计数器的计数和比较器,用于对分频后的自振荡信号计数从而获得计数值,并且将所获得的计数值与计数目标进行比较;连接到计数和比较器的第二触发器,用于产生有效信号,其中当计数值达到计数目标时有效信号被激活,并且当有效信号被激活时过滤后的输出信号发生翻转。
自振荡电路包括用于产生自振荡时钟信号的延迟单元。
延迟单元具有可配置的延迟长度。
自振荡电路还包括连接在第一逻辑门和延迟单元之间的与门。
自振荡电路包括反馈路径,其中自振荡时钟信号被反相并反馈到与门的输入端子,并且第一逻辑门的输出被提供给与门的另一个输入端子。
分频后的时钟信号被提供给第二触发器的时钟输入端,计数和比较器的输出被提供给第二触发器的数据输入端,第一逻辑门的输出被提供给第二触发器的复位端,自振荡时钟信号被提供给第一触发器的时钟输入端。
数字毛刺滤波器还包括连接在第二触发器和第一触发器之间的第二逻辑门,其中第二逻辑门的输出被提供给第一触发器的数据输入端。
由第一触发器产生的过滤后的输出信号和由第二触发器产生的有效信号被提供给第二逻辑门的输入端子。
根据本发明的另一方面,提供一种用于过滤输入信号中的毛刺的数字毛刺滤波器,包括:第一触发器,用于产生过滤后的输出信号;自振荡电路,用于产生自振荡时钟信号;第一逻辑门,用于当过滤后的输出信号不等于输入信号时启用自振荡电路,并且当过滤后的输出信号等于输入信号时禁用自振荡电路;计数和比较器,用于对自振荡时钟信号的脉冲进行计数以获得计数值并将计数值与预定计数目标进行比较;连接到计数和比较器的第二触发器,用于产生有效信号,其中当计数值等于计数目标时,有效信号被激活;和第二逻辑门,其具有连接到第一触发器的数据输入端的输出端,接收有效信号的第一输入端和接收过滤后的输出信号的第二输入端,使得当有效信号被激活时过滤后的输出信号发生翻转。自振荡时钟信号被用于对第一触发器和第二触发器进行计时。
附图说明
所以可以详细地理解本发明以上所记载的特征的方式,依据实施例的本发明特定的描述和以上发明内容,一些实施例在附图中示出。将注意的是,然而,附图只示出了本发明典型的实施例,因此不被认为对范围的限定,因为本发明可能具有其他同样有效的实施例。应当理解的是附图只是示意的和并不是按比例绘制。所主张的主题的优点对于本领域技术人员在阅读本说明书和结果附图的基础上是显而易见的,附图中的标记数字被用于表示如元件,其中:
图1示出了根据本发明的一种实施例的数字毛刺滤波器的示意图;
图2示出了图1电路的时序图;
图3示出了图1电路的另一种时序图;
图4示出了根据本发明的另一种实施例的数字毛刺滤波器的示意图。
具体实施方式
参照图1,图1示出了根据本发明的一种实施例的数字毛刺滤波器的示意图。数字毛刺滤波器100接收输入信号并产生过滤后的输出信号。数字毛刺滤波器100包括第一触发器101,第一异或门102,自振荡电路103,与门104,延迟单元105,纹波计数器106,计数和比较器107,第二触发器108和第二异或门109。
第一触发器101配置为生成过滤后的输出信号。第一触发器101具有数据输入端,该数据输入端连接到第一异或门102的输出端。输入信号和过滤后的输出信号被分别提供给第一异或门102的两个输入端。当输入信号不等于过滤后的输出信号,异或门102输出逻辑1,从而启用自振荡电路103。当输入信号等于过滤后的输出信号时,异或门102输出逻辑0,从而禁用自振荡电路103。
自振荡电路103配置为生成第一自振荡信号a1。在一个实施例中,自振荡电路103包括与门104和延迟单元105。与门104连接到第一异或门102。更具体地,异或门102的输出提供给与门104的输入端。由延迟单元105产生的第一自振荡信号a1被反相并提供给与门104的另一个输入端。与门104输出第二自振荡信号a2,第二自振荡信号a2提供给延迟单元105以产生第一自振荡信号a1。
纹波计数器106连接到自振荡电路103,并且第一自振荡信号a1提供给纹波计数器106。在一个实施例中,纹波计数器106为二进制计数器。纹波计数器106配置为将第一自振荡信号a1按照2N进行分频,然后输出分频后的时钟信号(DIV_CLK)。纹波计数器106提供一种高效的方式将第一自振荡信号a1转换为频率较低的信号。
纹波计数器106的输出端连接到计数和比较器107的输入端。计数和比较器107对分频后的时钟信号的脉冲进行计数并将计数值与预定计数目标进行比较,其中计数目标是可配置的。例如,在一个实施例中,计数目标是3。在其他实施例中,计数目标可以是所需的任何数目。如果输入信号在计数值达到计数目标之前改变状态,则输入信号被认为是毛刺并被过滤掉。这样,数字毛刺滤波器实现了高效率和高精度。
在一个计数周期中,当计数值从开始计数到达到计数目标时,输入信号保持相同状态,则输入信号被认为是有效信号并且由第二触发器108输出的有效信号被激活。计数和比较器107的输出端连接到第二触发器108的数据输入端。由纹波计数器106产生的分频后的时钟信号连接到第二触发器108的时钟输入端。第一异或门102的输出端连接到第二触发器108的复位端。当第一异或门102变为逻辑1时,第二触发器108被复位。如果计数值达到计数目标时输入信号的状态不发生改变,第二触发器108输出的有效信号被激活。也就是说,在通过计数和比较器107配置的预定时间内计数值达到计数目标,当输入信号保持相同状态时有效信号被激活,此时输入信号被认为是有效的信号。
当有效信号被激活时,过滤后的输出信号发生翻转。在一个实施例中,当有效信号等于逻辑1时有效信号被激活,并且过滤后的输出信号发生翻转。在一个实施例中,第二触发器108输出的有效信号被提供给第二异或门109的输入端之一。第二异或门109的另一个输入端连接到第一触发器101的输出端并接收过滤后的输出信号。然后,将第二异或门109的输出提供给第一触发器101的数据输入端。第一触发器101的时钟输入端连接到延迟单元105的输出端。第一触发器101接收POR重置(por_b)信号。在一个实施例中,POR重置信号在之间。第一触发器101的时钟输入端替代地可以连接到与门104的输出端。如果有效信号被激活,则过滤后的输出信号发生翻转并且等于输入信号。在所示的实施例中,当有效信号切换逻辑1时,使用第二异或门109来使过滤后的输出信号发生翻转。在其它实施例中,也可以通过其它电路结构代替第二异或门109,从而当有效信号被激活时,过滤后的输出信号发生翻转。以下详细介绍当有效信号切换逻辑1时,使用第二异或门109来使过滤后的输出信号发生翻转。
如果过滤后的输出信号原来等于逻辑0,则当有效信号等于逻辑1时,异或门109输出逻辑1。然后过滤后的输出信号发生翻转并切换到逻辑1。如果过滤后的输出信号原来等于逻辑1,则当有效信号等于逻辑1时,异或门109输出逻辑0,过滤后的输出信号发生翻转并切换到逻辑0。这样,在有效信号等于逻辑1时,过滤后的输出信号发生翻转。这是翻转过滤后的输出信号的简单方法。
当过滤后的输出信号发生翻转后,该信号等于输入信号。于是异或门102输出逻辑0。这样,异或门102禁用自振荡电路。同时,第二触发器108被异或门102的输出复位,并且有效信号被复位为逻辑0。当异或门102输出逻辑0时,它也将复位纹波计数器106,以及计数和比较器107。
延迟单元105,纹波计数器106以及计数和比较器107都是可配置的,因此过滤后的毛刺的宽度可从约30ps(10-12s)到几个微秒(10-6s)或毫秒(10-3s)的时间段。
现在参考图2,图2示出了根据本发明实施例的对应于图1的数字毛刺滤波器100的时序图200。时序图200包括输入信号(SIGNAL_IN),使能信号(ENABLE),第一自振荡信号(a1),由纹波计数器产生的信号(q1,q2,q3和DIV_CLK),计数和比较器,计数器目标,POR(上电复位)信号(POR_B),有效信号(SIG_VALID)和过滤后的输出信号(FILTER_OUT)。现在将结合时序图200详细解释数字毛刺滤波器100的功能。
在时间t1,输入信号切换至高态。由第一触发器101产生的过滤后的输出信号仍处于低态,因此过滤后的输出信号不等于输入信号。输入信号和过滤后的输出信号被提供给异或门102的输入端,因此由异或门102产生的使能信号切换至高态,从而启用自振荡电路103。第一自振荡信号(a1)切换至高态。当第一振荡信号(a1)被提供给纹波计数器106时,纹波计数器106以2N对第一振荡信号(a1)进行分频。结果,q1的频率是第一振荡信号(a1)的频率的一半。q2的频率是第一振荡信号(a1)的四分之一,并且q3的频率是第一振荡信号(a1)的频率的八分之一。
分频后的时钟信号(DIV_CLK)的频率是第一振荡信号(a1)的1/16。波纹计数器106是可配置的。在一个实施例中,纹波计数器是4位计数器。在其他实施例中,取决于设计标准,纹波计数器可以是5位计数器或任何位计数器,因此在时间t1,信号DIV_CLK切换至高态。然后,计数和比较器107对分频后的时钟信号(DIV_CLK)的脉冲进行计数,并将分频后的时钟信号的计数值与可配置的计数目标进行比较。例如,在一个实施例中,计数目标是3。在其他实施例中,目标可以被设置为其它值。
在时间t2,输入信号切换至低态,并且计数值是2。在该实施例中,计数目标被设置为3。计数值小于计数目标时输入信号发生改变,因此输入信号被认为是毛刺,有效信号保持在低态。而且,由于输入信号切换至低态,所以输入信号等于过滤后的输出信号。使能信号切换至低态,从而禁用自振荡电路103,纹波计数器106以及计数和比较器107,因此第一自振荡信号a1和分频后的时钟信号都切换至低态。
在时间t3,输入信号切换至高态。因此,自振荡电路103,纹波计数器106以及计数和比较器107再一次被启用。
在时间t4,计数和比较器107对分频后的时钟信号进行计数,并且由于计数值达到3,因此计数值达到计数目标。可见,在t4时刻,直到计数值达到计数目标,输入信号保持高态。于是输入信号被认为是有效的信号,所以由第二触发器108产生的有效信号切换至高态,这导致过滤后的输出信号发生翻转。因此,过滤后的输出信号切换至高态。同时,输入信号处于高态,因此过滤后的输出信号等于输入信号。使能信号然后切换到至禁用自振荡电路103的低态。第一自振荡信号a1和分频后的时钟信号切换至低态。第二触发器108被重置并且有效信号切换至低态。
现在参考图3,图3是对应于图1的数字毛刺滤波器100的另一种时序图300。图300和图200之间的一个区别在于输入信号的值相反。现在将结合时序图300详细解释数字式滤波器100的功能。
在时间t1,输入信号从高态切换至低态。过滤后的输出信号处于高状态,所以使能信号切换至高态,启用自振荡电路103。
在时间t2,输入信号切换至高态。过滤后的输出信号保持在高态,因此输入信号等于滤波后的输出信号。使能信号切换至低态,禁止自振荡电路103。
在时间t3,输入信号切换至低态,并且由于过滤后的输出信号为高态,所以使能信号切换至高态。分频后的时钟信号切换至高态,计数和比较器107对分频时钟信号的脉冲进行计数,并将计数值与计数目标进行比较。
在时间t4,输入信号保持高态一直到分频后的时钟信号的计数值达到计数目标。输入信号被认为是有效的信号。然后由第二触发器108产生的有效信号切换至高态,于是过滤后的输出信号发生翻转并切换至低态。于是过滤后的输出信号等于输入信号。使能信号切换至低态并且禁止自振荡电路103。第一自振荡信号a1和分频后的时钟信号切换至低态,以及由第二触发器108产生的有效信号切换至低态。
如上所述,自激振荡电路103不需要连续运行,而是仅当输入信号不等于过滤后的输出信号时启用,并且当输入信号等于过滤后的输出信号时被禁用。
现在参考图4,图4示出了根据本发明另一实施例的数字毛刺滤波器400的示意图。数字毛刺滤波器400包括第一触发器401,第一异或门402,自振荡电路403,与门404,延迟单元405,计数和比较器407,第二触发器408和第二异或门409。
第一触发器401配置为生成过滤后的输出信号。第一触发器401的输出端连接到第一异或门402的一个输入端。输入信号被提供给第一异或门402的另一个输入端。当输入信号不等于过滤后的输出信号时,异或门402输出逻辑1,启用自振荡电路403。当输入信号等于过滤后的输出信号时,异或门402输出逻辑0,禁止自振荡电路403。
自振荡电路403配置为生成第一自振荡信号(a1)。自振荡电路403可以包括与门404和延迟单元405。与门404的一个输入端连接到异或门402的输出端,与门404的另一个输入端接收由延迟单元105产生的第一自振荡信号的反相信号。与门404输出第二自振荡信号(a2),并将第二自振荡信号输入到延迟单元405以产生第一自振荡信号。
计数和比较器407连接到自振荡电路403并接收第一自振荡信号(a1)作为输入。计数和比较器407对第一自振荡信号(a1)进行计数并将该计数值与可配置的计数目标进行比较。如果输入信号在计数值达到计数目标之前改变电平状态,则输入信号被认为是毛刺并将被过滤掉。
当计数值达到计数目标时,输入信号保持相同的电平状态,则输入信号被视为有效的信号,并且第二触发器408输出的有效信号将被激活。计数和比较器407的输出端连接到第二触发器408的数据输入端。在本实施例中由自振荡电路403产生的分频后的时钟信号连接到第二触发器408的时钟输入端。第一异或门402的输出端连接到第二触发器408的复位端。当第一异或门402产生逻辑1时(当输入信号不等于过滤后的输出信号),第二触发器408被重置。当计数值达到计数目标且输入信号保持相同的电平状态时,第二触发器408输出的有效信号被激活。
由第二触发器408输出的有效信号被提供给第二异或门409的输入端之一,并且第一触发器401输出的过滤后的输出信号被提供给第二异或门409另一个输入端。第二异或门409的输出提供给第一触发器401的数据输入端。第一触发器401的时钟输入端连接到延迟单元405的输出端,并且第一触发器401的复位端子接收POR(POR_B)信号。在一个实施例中,POR_B电压在之间。在另一个实施例中,第一触发器401的时钟输入端也可以连接到与门404的输出端。结果,如果有效信号等于滤波后的输出信号,则滤波后的输出信号将发生翻转并且异或门402将输出逻辑0。以这种方式,第一异或门402将禁用自振荡电路403。
上文描述了不是连续工作的数字毛刺滤波器,具体地,至少数字毛刺滤波器中的自振荡电路不需要连续工作,从而使得本发明的数字毛刺滤波器不会持续地消耗功率,因此对于低功率设计是有利的。
文中描述主题的“一个”和“这个”和类似的术语(特别是权利要求中)被认为涵盖单数和复数,除非另有指出或在上下文中明确反驳。这里记载的数值范围只是用于表示落入该范围中的离散值的便捷方法,除非另有指出,每个离散值被包括在说明书中,就像分别记载一样。另外,上文的描述只是实施例,并不是为了限制,保护范围由权利要求限定。这里提供的任何和所有的实施例,或举例的术语(如“例如”)的使用,只是为了更好地说明主题,除非另有主张,这不是对主题的范围的限制。在权利要求和说明书中记载的术语“基于”和其它类似短语的使用表示用于产生结果的条件,并不是为了排除产生该结果的其它任何条件。在说明书中的任何术语不被认为表示作为本发明所主张的实施的必要不主张的元素。
这里记载了优选的实施例。当然,那些优选实施例的变化对本领域技术人员在本文记载内容的基础上是显而易见的。本领域技术人员适当地使用这些变化,以及发明人打算以这里所特别记载的不同方式实施所主张的权利要求。因此,所主张的主题包括权利要求所记载的主题的所有修改及其相当是其适用法律允许的。另外,除非特别指出或本文明确反驳,本文涵盖在所有可能的变化中的以上描述的元素的组合。

Claims (10)

1.一种用于过滤输入信号中的毛刺的数字毛刺滤波器,包括:
第一触发器,用于产生过滤后的输出信号:
自振荡电路,用于当过滤后的输出信号与输入信号不相等时产生自振荡信号;
第一逻辑门,用于当过滤后的输出信号与输入信号不相等时启用自振荡电路,并且当过滤后的输出信号与输入信号相等时自振荡电路;
连接到自振荡电路的纹波计数器,用于通过对自振荡信号进行分频产生分频后的时钟信号;
连接到纹波计数器的计数和比较器,用于对分频后的自振荡信号计数从而获得计数值,并且将所获得的计数值与计数目标进行比较;
连接到计数和比较器的第二触发器,用于产生有效信号,其中当计数值达到计数目标时有效信号被激活,并且
其中当所述有效信号被激活时所述过滤后的输出信号发生翻转。
2.根据权利要求1所述的数字毛刺滤波器,其中所述自振荡电路包括用于产生所述自振荡时钟信号的延迟单元。
3.根据权利要求2所述的数字毛刺滤波器,其中所述延迟单元具有可配置的延迟长度。
4.根据权利要求2所述的数字毛刺滤波器,其中所述自振荡电路还包括连接在所述第一逻辑门和所述延迟单元之间的与门。
5.根据权利要求4所述的数字毛刺滤波器,其中所述自振荡电路包括反馈路径,其中所述自振荡时钟信号被反相并反馈到所述与门的输入端子,并且所述第一逻辑门的输出被提供给与门的另一个输入端子。
6.根据权利要求1所述的数字毛刺滤波器,其中:
分频后的时钟信号被提供给第二触发器的时钟输入端,
计数和比较器的输出被提供给第二触发器的数据输入端,
第一逻辑门的输出被提供给第二触发器的复位端,
自振荡时钟信号被提供给第一触发器的时钟输入端。
7.根据权利要求1所述的数字毛刺滤波器,还包括连接在所述第二触发器和所述第一触发器之间的第二逻辑门,其中所述第二逻辑门的输出被提供给所述第一触发器的数据输入端。
8.根据权利要求8所述的数字毛刺滤波器,其中由第一触发器产生的过滤后的输出信号和由第二触发器产生的有效信号被提供给第二逻辑门的输入端子。
9.一种用于过滤输入信号中的毛刺的数字毛刺滤波器,包括:
第一触发器,用于产生过滤后的输出信号;
自振荡电路,用于产生自振荡时钟信号;
第一逻辑门,用于当过滤后的输出信号不等于输入信号时启用自振荡电路,并且当过滤后的输出信号等于输入信号时禁用自振荡电路;
计数和比较器,用于对自振荡时钟信号的脉冲进行计数以获得计数值并将计数值与预定计数目标进行比较;
连接到所述计数和比较器的第二触发器,用于产生有效信号,其中当所述计数值等于所述计数目标时,所述有效信号被激活;和
第二逻辑门,其具有连接到第一触发器的数据输入端的输出端,接收有效信号的第一输入端和接收过滤后的输出信号的第二输入端,使得当有效信号被激活时过滤后的输出信号发生翻转。
10.根据权利要求9所述的数字毛刺滤波器,其中所述自振荡时钟信号被用于对所述第一触发器和所述第二触发器进行计时。
CN201810593097.XA 2018-06-08 2018-06-08 数字毛刺滤波器 Pending CN110581698A (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201810593097.XA CN110581698A (zh) 2018-06-08 2018-06-08 数字毛刺滤波器
US16/237,712 US10574218B2 (en) 2018-06-08 2019-01-01 Digital glitch filter

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810593097.XA CN110581698A (zh) 2018-06-08 2018-06-08 数字毛刺滤波器

Publications (1)

Publication Number Publication Date
CN110581698A true CN110581698A (zh) 2019-12-17

Family

ID=68764263

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810593097.XA Pending CN110581698A (zh) 2018-06-08 2018-06-08 数字毛刺滤波器

Country Status (2)

Country Link
US (1) US10574218B2 (zh)
CN (1) CN110581698A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111404517B (zh) * 2020-04-08 2023-11-10 北京集创北方科技股份有限公司 脉冲消除电路、电压检测电路以及检测方法
US11323106B1 (en) * 2020-11-23 2022-05-03 Texas Instruments Incorporated Glitch filter system
US11586238B1 (en) 2021-12-15 2023-02-21 Nxp B.V. Clock generator with noise rejection circuit
EP4350995A1 (en) 2022-10-07 2024-04-10 EM Microelectronic-Marin SA Digital logic controller

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010048341A1 (en) * 2000-05-29 2001-12-06 Stmicroelectronics Ltd. Programmable glitch filter
US20030091135A1 (en) * 2001-10-16 2003-05-15 Bonafos Xavier David Digital filter for reducing voltage peaks
CN102931944A (zh) * 2011-08-12 2013-02-13 飞思卡尔半导体公司 数字毛刺滤波器
US20140118033A1 (en) * 2012-10-26 2014-05-01 Silicon Laboratories Inc. Glitchless clock switching that handles stopped clocks
US8913441B2 (en) * 2012-05-22 2014-12-16 SanDisk Technologies, Inc. Enhanced glitch filter
CN104518755A (zh) * 2013-09-27 2015-04-15 无锡华润微电子有限公司 数字电路噪声滤波器及数字滤波方法
CN105141286A (zh) * 2015-10-16 2015-12-09 成都默一科技有限公司 滤除单时钟周期脉冲及毛刺的数字滤波器
CN105141203A (zh) * 2015-09-01 2015-12-09 深圳市施美森科技有限公司 电机的脉冲滤波***、脉冲滤波方法及其控制***
CN105897220A (zh) * 2016-03-31 2016-08-24 珠海矽尚科技有限公司 一种针对逻辑端口的双边数字滤波电路
CN105978532A (zh) * 2016-05-19 2016-09-28 深圳市纳芯威科技有限公司 一种数字滤波器

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198710A (en) 1991-05-30 1993-03-30 Texas Instruments Incorporated Bi-directional digital noise glitch filter
US5185537A (en) 1992-01-30 1993-02-09 Digital Equipment Corporation Gate efficient digital glitch filter for multiple input applications
US5289060A (en) 1992-09-16 1994-02-22 Texas Instruments Incorporated Programmable glitch filter
US6894540B1 (en) 2003-12-17 2005-05-17 Freescale Semiconductor, Inc. Glitch removal circuit

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010048341A1 (en) * 2000-05-29 2001-12-06 Stmicroelectronics Ltd. Programmable glitch filter
US20030091135A1 (en) * 2001-10-16 2003-05-15 Bonafos Xavier David Digital filter for reducing voltage peaks
CN102931944A (zh) * 2011-08-12 2013-02-13 飞思卡尔半导体公司 数字毛刺滤波器
US8913441B2 (en) * 2012-05-22 2014-12-16 SanDisk Technologies, Inc. Enhanced glitch filter
US20140118033A1 (en) * 2012-10-26 2014-05-01 Silicon Laboratories Inc. Glitchless clock switching that handles stopped clocks
CN104518755A (zh) * 2013-09-27 2015-04-15 无锡华润微电子有限公司 数字电路噪声滤波器及数字滤波方法
CN105141203A (zh) * 2015-09-01 2015-12-09 深圳市施美森科技有限公司 电机的脉冲滤波***、脉冲滤波方法及其控制***
CN105141286A (zh) * 2015-10-16 2015-12-09 成都默一科技有限公司 滤除单时钟周期脉冲及毛刺的数字滤波器
CN105897220A (zh) * 2016-03-31 2016-08-24 珠海矽尚科技有限公司 一种针对逻辑端口的双边数字滤波电路
CN105978532A (zh) * 2016-05-19 2016-09-28 深圳市纳芯威科技有限公司 一种数字滤波器

Also Published As

Publication number Publication date
US20190379368A1 (en) 2019-12-12
US10574218B2 (en) 2020-02-25

Similar Documents

Publication Publication Date Title
CN110581698A (zh) 数字毛刺滤波器
US8558579B2 (en) Digital glitch filter
KR101374916B1 (ko) 클럭 에지 복원을 갖는 펄스 카운터
CN112702043B (zh) 一种双向去毛刺电路
CN100563108C (zh) 数字pll电路
US20060280278A1 (en) Frequency divider circuit with a feedback shift register
TWI791914B (zh) 分頻器電路、用於分頻器電路的方法及補償電路
CN110311659B (zh) 一种触发器及集成电路
CN112929009A (zh) 一种rc张弛振荡器
US8253449B2 (en) Clock switch circuit and clock switch method of the same
US6795932B2 (en) Clock switchover circuit
JP5451309B2 (ja) 雑音除去回路及び雑音除去回路を備えた半導体装置
KR100742142B1 (ko) 듀얼에지 m/n:d 카운터
CN115021745B (zh) 多模分频器和电子装置
CN115276615B (zh) 一种输出无毛刺的低占空比误差的时钟信号倍频电路
US6580776B2 (en) Glitch-free frequency dividing circuit
US7180341B2 (en) Variable division method and variable divider
CN108777575B (zh) 分频器
CN102224678B (zh) 计数器电路以及保护电路
CN216056966U (zh) 分频器、时钟信号生成电路和电子设备
US6741670B2 (en) Counter circuit and reset therefor
AU2001291079A1 (en) Dual-edge m/n counter
EP3428768B1 (en) Robust boot block design and architecture
CN113162619A (zh) 分频器及其控制方法、时钟信号生成电路和电子设备
KR20010101841A (ko) 비교기 회로

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination