CN110573651B - 用于沉积作为铁电材料的硅掺杂氧化铪的制剂 - Google Patents

用于沉积作为铁电材料的硅掺杂氧化铪的制剂 Download PDF

Info

Publication number
CN110573651B
CN110573651B CN201880028468.8A CN201880028468A CN110573651B CN 110573651 B CN110573651 B CN 110573651B CN 201880028468 A CN201880028468 A CN 201880028468A CN 110573651 B CN110573651 B CN 110573651B
Authority
CN
China
Prior art keywords
bis
hafnium
composition
precursor compound
dimethylamino
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880028468.8A
Other languages
English (en)
Other versions
CN110573651A (zh
Inventor
雷新建
M·R·麦克唐纳
金武性
李世远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN110573651A publication Critical patent/CN110573651A/zh
Application granted granted Critical
Publication of CN110573651B publication Critical patent/CN110573651B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Financial Or Insurance-Related Operations Such As Payment And Settlement (AREA)

Abstract

在一个方面,本发明是包含有机氨基铪和有机氨基硅烷前体两者的制剂,其允许将含硅片段和含铪片段两者锚定到具有羟基的给定表面上以沉积适合作为铁电材料的具有0.5‑8摩尔%,优选2‑6摩尔%,最优选3‑5摩尔%范围的硅掺杂水平的硅掺杂氧化铪。在另一方面,本发明是使用所述制剂沉积硅掺杂氧化铪膜的方法和***。

Description

用于沉积作为铁电材料的硅掺杂氧化铪的制剂
技术领域
本发明涉及可用于沉积作为用于电存储器应用的铁电材料的硅掺杂氧化铪的制剂。
背景技术
本文描述了通过热原子层沉积(ALD)或等离子体增强原子层沉积(PEALD)工艺、循环化学气相沉积、等离子体增强循环化学气相沉积或其组合沉积硅掺杂氧化铪的新型制剂或组合物(其是可互换的)、包括其的方法和***。
更具体地,本文描述了用于在约600℃或更低,包括例如,约200℃至约350℃的一个或多个沉积温度下形成具有2-6摩尔%范围的硅掺杂水平的硅掺杂氧化铪的组合物、方法和***。
原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)是用于沉积硅掺杂氧化铪的当前工艺,其采用超循环途径,即多个氧化铪循环然后一个或几个氧化硅循环,以控制硅掺杂剂的量,从而在退火时提供铁电材料,所得纳米层状物结晶成正交晶相。
在ALD和PEALD工艺中,前体和反应性气体(如氧气、氧等离子体、臭氧或水)在一定数量的循环中分别脉冲以在每个超循环中形成多层氧化铪和单层氧化硅。然而,硅掺杂剂可能不均匀地分布到晶格中,这可能对半导体应用中铁电材料的性能有害。为了弥补这一点,一种可能的解决方案是在每个ALD或PEALD循环中共沉积氧化硅和氧化铪两者,从而使硅和铪原子更好地相互混合,然后进行热退火以结晶成适合作为铁电材料的适当的正交晶相。
已知前体和方法的实例公开在以下出版物、专利和专利申请中。
Claudia Richter,M.H.P.,Tony Schenk,Robin Materlik,ChristopherKuenneth,Alfred Kersch,Cheol Seong Hwang,Thomas Mikolajick,Uwe Schroeder(2016).Impact of ALD processing on non-volatile memory performance offerroelectric HfO2 based capacitors.16th International Conference on AtomicLayer Deposition,2016年7月24日-27日,爱尔兰,都柏林。
最近,报道了由非中心对称正交晶相引起的薄掺杂氧化铪膜的铁电性能[Boescke,T.S.,Mueller,J.,Braeuhaus,D.,Schroeder,U.and Boettger,U.(2011)."ferroelectricity in hafnium oxide thin films."Appl.Phys.Lett.99(10):102903/102901-102903/102903.]。
在接下来的几年中,提出了使用HfO2作为非易失性存储层的新型存储器件。正在进行持续研究以了解该至今未知的相的根本原因。因此,研究了掺杂HfO2薄膜的铁电性质和晶体结构。压电响应力显微镜(PFM)结合透射电子显微镜(TEM)测量揭示了大约单掺杂HfO2的畴尺寸(domain size)。在对于10nm厚的膜布署直径~20-30nm的掺杂HfO晶粒之后。在铁电随机存取存储器(FRAM)电容器中布署掺杂HfO2后,非易失性数据存储的重要参数被表征:例如剩余极化、唤醒性能、耐久性、疲劳和印记(imprint)以及典型的介电特性,如漏电流和介电常数。通过在HfO2沉积(四(乙基甲基氨基)铪/H2O)期间脉冲一定量的SiOx子循环(硅烷二胺,N,N,N’,N’-四乙基/O2等离子体)来处理铁电Si掺杂HfO2膜。优化了单一SiOx子循环的位置。在铁电层的类似唤醒行为下,第一SiOx层与底部电极的21个HfO2循环的距离导致剩余和弛豫极化(1s后)的改善。同时,循环耐久性可以增加10-100倍。只要掺杂HfO2厚度超过最小厚度,铁电材料内的SiO2或Al2O3中间层就可以进一步改善电容器结构的铁电存储特性。总的来说,结果表明HfO2从头模拟中的有限Si扩散证实了掺杂分布和氧空位对铁电HfO的相稳定性的影响,甚至是在1000℃退火后。
Hoffmann,M.,Schroeder,U.,Kuenneth,C.,Kersch,A.,Starschich,S.,Boettger,U.and Mikolajick,T.(2015)."Ferroelectric phase transitions innanoscale HfO2 films enable giant pyroelectric energy conversion and highlyefficient supercapacitors."Nano Energy 18:154-164。研究了用于能量转换和存储应用的具有3.8-5.6摩尔%Si含量的铁电纳米级TiN/Si:HfO2/TiN电容器中的温度和场致相变。具有5.6摩尔%Si浓度的膜表现出~40J/cm3的能量存储d.,以及在可用于超级电容器的宽温度范围内~80%的极高效率。此外,由于温度依赖性铁电至顺电相变,观察到高达1300μC/(m2K)的巨大热电系数。宽过渡区与晶粒尺寸分布有关并且可通过Si含量调节。这种强热电性产生了高达0.591的电热耦合因子k2,其比曾经报道过的最佳值高出超过一个数量级。这使得能够以曾经报道过为每奥尔森循环20.27J/cm3的最高可收获能量d.收获热电能量。讨论了在IR传感中的可能应用。反过来,通过电热效应,可实现高达9.5K的绝热温度变化和曾经报道的每循环19.6J/cm3的最高制冷容量。这可能使得能够实现节能的芯片上电热冷却装置。另外,通过现有的半导体工艺技术,这些膜的低成本制造是可行的。
Mueller,S.,Summerfelt,S.R.,Mueller,J.,Schroeder,U.and Mikolajick,T.(2012)."Ten-nanometer ferroelectric Si:HfO2 films for next-generation FRAMcapacitors."IEEE Electron Device Lett.33(9):1300-1302。
已经研究了Si掺杂HfO2薄膜(10nm)的铁电性质。该文章的重点是评估这些薄膜对未来3-D铁电随机存取存储器电容器的潜在适用性。在高达185℃的高温下测试极化转换,且未显示严重降解。畴变动力学用脉冲切换测试进行电表征,并且不符合Kolmogorov-Avrami型切换。提出了成核限制切换以应用于这些新型铁电薄膜。此外,在125℃下进行相同状态和相反状态保留测试至多20小时。发现先前在800℃下退火的样品显示出改善的书写状态以及相反状态的保留。另外,进行疲劳测量,在3V下的106个编程和擦除循环没有发生降解。
Mueller,S.F.,Yurchuk,E.and Schroeder,U.(2014))"Ferroelectric memorycells for integrated circuits."US9053802 B。
集成电路包括铁电存储器单元。所述铁电存储器单元包括第一氧化物存储层,第二氧化物存储层和设置在第一和第二氧化物存储层之间的非晶层。第一和第二氧化物存储层各自包括至少部分地处于铁电状态的铁电材料,并且还包括作为主要组分的氧和由Hf、Zr和(Hf,Zr)组成的组中的任一者。
Park,J.U.,Kim,J.Y.,Cho,B.Y.,Yoo,G.H.,Chae,S.D.,Kim,Y.S.,Cho,Y.J.,Choi,H.M.and Hwang,G.H.(2012))"Organometallic compounds containingsilylamines useful as precursors with good thermal stability for metal oxideor silicon-containing metal oxide deposition."KR101284664B1。
该发明涉及具有甲硅烷基胺配体的有机金属化合物(R1R2N)3-xM(L)(NR3SiR4R5R6)x,其中M=Si、Ge、Ti、Zr或Hf;L=卤素、C1-6烷基或环戊二烯基;R1-6=独立地H、C1-6烷基或SiR12R13R14;R12、R13,R14=独立地H或C1-6烷基;并且x=0、1、2或3。
Park,M.H.,Lee,Y.H.,Kim,H.J.,Kim,Y.J.,Moon,T.,Kim,K.D.,Mueller,J.,Kersch,A.,Schroeder,U.,Mikolajick,T.and Hwang,C.S.(2015)."Ferroelectricityand Antiferroelectricity of Doped Thin HfO2-Based Films."Adv.Mater.(Weinheim,Ger.)27(11):1811-1831。
Park等教导了HfO2基薄膜中铁电性和反铁电性的进展。大多数铁电薄膜研究聚焦于钙钛矿结构材料,如Pb(Zr,Ti)O3、BaTiO3和SrBi2Ta2O9,其被认为是非易失性半导体存储器件的可行候选材料。然而,这些传统的铁电材料存在各种问题,包括Si相容性差、与Pb有关的环境问题、物理厚度大、氢抗性低和带隙小。
2011年,首次报道了Si掺杂HfO2薄膜的铁电性。各种掺杂剂,例如Si、Zr、Al、Y、Gd、Sr和La可以在薄HfO2膜中诱导铁电性或反铁电性。它们具有高达45μC cm-2的大的剩余极化,并且它们的矫顽场(≈1-2MV cm-1)比传统的铁电膜大大约一个数量级。而且,它们可以非常薄(<10nm)并具有大的带隙(>5eV)。这些差异据信克服了传统铁电体在存储器应用中的障碍,包括铁电场效应晶体管和三维电容器。另外,预期反铁电薄膜的电和热性能的结合可用于各种应用,包括能量收获/存储、固态冷却和IR传感器。本领域需要用于沉积含硅掺杂氧化铪膜的前体和方法,所述膜可以被热退火成正交晶相以作为用于制造未来存储器件的铁电材料。
发明内容
本发明通过提供包含有机氨基铪和有机氨基硅烷前体化合物两者的制剂或组合物(制剂和组合物是可互换的)解决了与常规前体和方法相关的问题,所述制剂或组合物允许将含硅片段和含铪片段两者锚定到具有羟基基团的给定表面上以沉积具有0.5-8摩尔%,优选2-6摩尔%,最优选3-5摩尔%的硅掺杂水平的硅掺杂氧化铪。
在一个方面,本发明是一种用于沉积硅掺杂氧化铪膜的组合物,其包含:
(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2。据信具有R3基团可降低或增加有机氨基硅烷前体对羟基基团的反应性,从而允许更好地控制低含量硅掺杂到氧化铪中。
在另一方面,本发明是一种将硅掺杂氧化铪膜沉积到衬底上的方法,其包括以下步骤:
a)在反应器中提供所述衬底;
b)向所述反应器中引入组合物,其包含:(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2;
c)用吹扫气体吹扫所述反应器;
d)向所述反应器中引入含氧源;和
e)用所述吹扫气体吹扫所述反应器;
其中重复步骤b)-e)直到沉积期望厚度的膜;所述方法在约100℃-600℃范围的温度下进行。
在又一方面,本发明还涉及一种将硅掺杂氧化铪膜沉积到衬底上的***,所述***包括:反应器中的所述衬底;组合物,其包含:(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2;并且所述***处于100℃-600℃范围的温度下。
用于沉积硅掺杂氧化铪膜的组合物还包含:(c)溶剂。
在一个方面,本发明还涉及利用组合物或组合物与溶剂的器皿或容器;其中所述组合物包含以下的至少一种:(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2。
可与本文公开的方法一起使用的合适的有机氨基硅烷前体的实例包括但不限于三(二甲基氨基)甲基硅烷、三(二乙基氨基)甲基硅烷、三(乙基甲基氨基)甲基硅烷、三(吡咯烷基)甲基硅烷、三(二甲基氨基)乙基硅烷、三(二乙基氨基)乙基硅烷、三(乙基甲基氨基)乙基硅烷、三(吡咯烷基)乙基硅烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(吡咯烷基)二甲基硅烷、双(二甲基氨基)二乙基硅烷、双(二乙基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(吡咯烷基)二乙基硅烷、二甲基氨基三甲基硅烷、二乙基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、吡咯烷基三甲基硅烷、二甲基氨基三乙基硅烷、二乙基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、吡咯烷基三乙基硅烷、二甲基氨基苯基二甲基硅烷、二乙基氨基苯基二甲基硅烷、乙基甲基氨基苯基二甲基硅烷、吡咯烷基苯基二甲基硅烷、三(二甲基氨基)苯基硅烷、三(二乙基氨基)苯基硅烷、三(乙基甲基氨基)苯基硅烷、三(吡咯烷基)苯基硅烷、1-二甲基氨基-1,1,3,3,3-五甲基二硅氧烷、1,1,1,4,4,4-六(二甲基氨基)-1,4-二硅杂丁烷、2,5-双(二甲基氨基)-2,5-二甲基-2,5-二硅杂己烷及其组合。
可与本文公开的方法一起使用的合适的有机氨基铪前体的实例包括但不限于四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基氨基)铪(TEMAH)、四(吡咯烷基)铪、环戊二烯基三(二甲基氨基)铪(CpHf(NMe2)3)、甲基环戊二烯基三(二甲基氨基)铪(MeCpHf(NMe2)3)、乙基环戊二烯基三(二甲基氨基)铪(EtCpHf(NMe2)3)、环戊二烯基三(二甲基氨基)铪(CpHf(NMe2)3)、甲基环戊二烯基三(乙基甲基氨基)铪(MeCpHf(NMeEt)3)、乙基环戊二烯基三(乙基甲基氨基)铪(EtCpHf(NMeEt)3)、环戊二烯基三(二乙基氨基)铪(CpHf(NEt2)3)、甲基环戊二烯基三(二乙基氨基)铪(MeCpHf(NEt2)3)、乙基环戊二烯基三(二乙基氨基)铪(EtCpHf(NEt2)3)、双(环戊二烯基)双(二甲基氨基)铪(Cp2Hf(NMe2)2)、双(甲基环戊二烯基)双(二甲基氨基)铪((MeCp)2Hf(NMe2)2)、双(乙基环戊二烯基)双(二甲基氨基)铪((EtCp)2Hf(NMe2)2)、双(环戊二烯基)双(乙基甲基氨基)铪(Cp2Hf(NMeEt)2)、双(甲基环戊二烯基)双(乙基甲基氨基)铪((MeCp)2Hf(NMeEt)2)、双(乙基环戊二烯基)双(乙基甲基氨基)铪((EtCp)2Hf(NMeEt)2)、双(环戊二烯基)双(二乙基氨基)铪((Cp2Hf(NEt2)2)、双(甲基环戊二烯基)双(二乙基氨基)铪((MeCp)2Hf(NEt2)3)、双(乙基环戊二烯基)双(二乙基氨基)铪((EtCp)2Hf(NEt2)2)、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)铪及其组合。
示例性溶剂可包括但不限于醚、叔胺、烷基烃、芳族烃、硅氧烷、叔氨基醚及其组合。
没有溶剂的制剂中有机氨基硅烷前体化合物的重量%可以在0.10-99.90重量%;或0.10-30.00重量%、0.10-20.00重量%、0.10-10.00重量%;或5.00-30.00重量%、5.00-20.00重量%、5.00-10.00重量%、0.10-5.00重量%之间变化。
含有溶剂的制剂中有机氨基硅烷前体化合物的重量%可以在0.01-99.99重量%,或10.00-90.00重量%,或20.00-80.00重量%,或30.00-70.00重量%,或40.00-60.00重量%之间变化。
没有溶剂的制剂中有机氨基铪前体化合物的重量%可以在0.10-99.00重量%;或0.10-30.00重量%、0.10-20.00重量%、0.10-10.00重量%;或5.00-30.00重量%、5.00-20.00重量%、5.00-10.00重量%、0.10-5.00重量%之间变化。
含有溶剂的制剂中有机氨基铪化合物的重量%可以在0.10-99.00重量%,或10.00-90.00重量%,或20.00-80.00重量%,或30.00-70.00重量%,或40.00-60.00重量%之间变化。
在另一方面,本发明还涉及一种使用所公开的组合物、方法和***沉积的硅掺杂氧化铪膜,其具有0.50-8.00摩尔%,优选2.00-6.00摩尔%,最优选3.00-5.00摩尔%的硅掺杂水平。
在又一方面,本发明还涉及一种含有使用所公开的组合物、方法和***沉积的硅掺杂氧化铪膜的铁电材料,所述硅掺杂氧化铪膜具有0.50-8.00摩尔%,优选2.00-6.00摩尔%,最优选3.00-5.00摩尔%的硅掺杂水平。
在一些实施方式中,可以通过直接液体注射将组合物输送到用于含硅膜的反应室中。
本发明的实施方式可以单独使用或彼此组合使用。
附图说明
图1提供了描绘使用所谓的超循环ALD工艺沉积硅掺杂氧化铪而产生纳米层状结构,然后进行热退火以产生适合于铁电材料的硅掺杂氧化铪的现有技术方法的图(参见“Impact of ALD processing on non-volatile memory performance of ferroelectricHfO2 based capacitors”16th International Conference on Atomic LayerDeposition,2016年7月24日-27日,爱尔兰,都柏林)。
图2提供了描绘本发明沉积硅掺杂氧化铪而在ALD或PEALD工艺的每个循环中产生硅和铪原子的均匀混合,然后进行热退火以产生适合于铁电材料的硅掺杂氧化铪的图。
图3提供了对于包含四(二甲基氨基)铪(TDMAH)中不同量的双(二甲基氨基)二甲基硅烷的制剂,熔点相对于有机氨基硅烷浓度的图。
具体实施方式
在描述本发明的上下文中(特别是在以下权利要求的上下文中),除非本文另有说明或与上下文明显矛盾,否则术语“一个/一种(a/an)”和“该/所述(the)”以及类似指示的使用应被解释为涵盖单数和复数。除非另有说明,否则术语“包含”、“具有”、“包括”和“含有”应被解释为开放式术语(即,“包括,但不限于”),但也包括“基本上由......组成”和“由......组成”的部分封闭或封闭式术语。除非本文另有说明,否则本文中对数值范围的记载仅旨在用作独立地提及落入该范围内的每个单独值的简写方法,并且每个单独值并入本说明书中,如同其在本文中独立地记载。
除非本文另有说明或上下文明显矛盾,否则本文所述的所有方法均可以任何合适的顺序进行。除非另外声明,否则本文提供的任何和所有实例或示例性语言(例如,“如”)的使用仅旨在更好地说明本发明,而不对本发明的范围构成限制。本说明书中的任何语言都不应被解释为表示任何未要求保护的要素对于本发明的实践是必要的。
本文描述了本发明的优选实施方式,包括发明人已知实施本发明的最佳方式。在阅读前文的描述后,那些优选实施方式的变化对于本领域普通技术人员可以变得显而易见。发明人预期熟练技术人员适当地采用这样的变化,并且发明人希望本发明以不同于本文具体描述的方式实施。因此,本发明包括适用法律允许的所附权利要求中记载的主题内容的所有修改和等同方式。而且,除非本文另有说明或上下文明显矛盾,否则本发明涵盖上述要素的所有可能变化方式的任意组合。
可以使用本领域已知的设备实施本发明。例如,本发明的方法可以使用半导体制造领域中常规的反应器。
原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)是目前用于沉积硅掺杂氧化铪的工艺,其采用超循环方法,即多个氧化铪循环,然后是一个或几个氧化硅循环,以控制硅掺杂剂的量,从而在退火时提供铁电材料,所得纳米层状物结晶成正交晶相,如图1所示。在ALD和PEALD工艺两者中,前体和反应性气体(如氧气、氧等离子体、臭氧或水)在一定数量的循环中分别脉冲以在每个超循环中形成多层氧化铪和单层氧化硅。然而,硅掺杂剂可能不均匀地分布到晶格中,这可能对半导体应用中的铁电材料的性能有害。为了解决这一问题,一种可能的解决方案是在每个ALD或PEALD循环中共沉积氧化硅和氧化铪两者,如图2所示,从而使硅和铪原子更好地相互混合以及产生Si-O-Hf或Hf-O-Si键,然后热退火以结晶成适合作为铁电材料的适当的正交晶相。
在一些实施方式中,方法中使用的含氧源是选自氧等离子体、臭氧、水蒸气、水蒸气等离子体、具有或不具有惰性气体的氮氧化物(例如,N2O、NO、NO2)等离子体、碳氧化物(例如,CO2、CO)等离子体及其组合的源。在某些实施方式中,氧源还包含惰性气体。在这些实施方式中,惰性气体选自氩气、氦气、氮气、氢气及其组合。在可选实施方式中,氧源不包含惰性气体。
不希望受任何理论或解释的束缚,据信本发明制剂的效能可通过调节有机氨基硅烷前体化合物的重量百分比而允许硅原子适当掺杂到氧化铪中,特别地,有机氨基硅烷前体化合物具有与有机氨基铪前体化合物相同的有机氨基基团,从而允许两种前体彼此化学相容但对羟基基团具有不同的反应性。
众所周知,氧化铪以三种不同晶相存在:单斜晶、四方晶和正交晶。单斜晶相和四方晶相两者在半导体工业中被认为是高介电常数材料。薄膜中的结晶倾向于在四方晶相中通过成核且在晶体生长期间马氏体转化成单斜晶相来进行。该相变涉及体积膨胀和晶胞的剪切。已发现足够的SiO2(5-10摩尔%)的混合物使HfO2中的四方晶相稳定。此外,据报道,在HfO2薄膜结晶期间顶部电极的存在也导致单斜晶相分数降低和介电常数显著提高。当硅掺杂水平在2.00-6.00摩尔%范围内时,如果结晶在机械包封下发生并且获得正交晶相,则单斜晶相的形成受到抑制。该正交晶相显示不同的压电响应,同时极化测量在1MV/cm的矫顽场下表现出高于10μC/cm2的剩余极化,表明该晶相是铁电性的。与本发明背景技术中描述的那些相比,本发明中公开的制剂组合物允许硅更均匀地掺杂到氧化铪中,因此可以在关于前体合成的成本或便利性、前体的物理性质(包括热稳定性、熔点、相容性、反应性或挥发性)、沉积硅掺杂氧化铪的工艺、前体输送的成本或便利性、控制硅掺杂水平的能力、硅掺杂的再现性和均匀性或者重要地所沉积的硅掺杂氧化铪膜适合作为铁电材料的性质的一个或多个方面提供优势。
不希望受任何理论或解释的束缚,据信本发明制剂的效能可允许通过调节有机氨基硅烷前体的重量百分比而将硅原子适当掺杂到氧化铪中,特别是有机氨基硅烷前体具有与有机氨基铪前体相同的有机氨基基团,从而允许两种前体彼此化学相容,即在储存或使用过程中没有组成变化,但对羟基基团具有不同的反应性。还可以基于不同的相容性硅和铪前体的不同反应性来调整氧化铪膜中的硅掺杂。例如,包含反应性较低的有机氨基硅烷和反应性较高的有机氨基铪前体的制剂可用于在硅掺杂氧化铪膜中实现较低的硅掺杂水平。同样地,可以使用包含反应性较低的有机氨基硅烷和反应性较高的有机氨基铪前体的制剂以在硅掺杂氧化铪膜中实现较高的硅掺杂水平。还据信可以通过基于有机氨基硅烷和有机氨基铪组分的不同反应性改变沉积温度来调节硅掺杂氧化铪材料中的硅掺杂水平。
在一个方面,用于沉积作为铁电材料的硅掺杂氧化铪膜的组合物包含:
(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2。
在又一方面,提供了一种将作为铁电材料的硅掺杂氧化铪膜沉积到衬底上的方法,其包括以下步骤:
a)在反应器中提供所述衬底;
b)向所述反应器中引入组合物,其包含:(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2;
c)用吹扫气体吹扫所述反应器;
d)向所述反应器中引入含氧源;和
e)用所述吹扫气体吹扫所述反应器;
其中重复步骤b)-e)直到沉积期望厚度的膜;所述方法在约100℃-600℃范围的温度下进行。在一些实施方式中,步骤d)中的含氧源是水,因为其他含氧源如臭氧、氧等离子体可潜在地氧化衬底材料,例如硅或金属氮化物。
在前述方法的一些实施方式中,包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪的组合物的实例包括,但不限于,约2.00重量%的双(二甲基氨基)二甲基硅烷,约3.00重量%的双(二甲基氨基)二甲基硅烷,约4.00重量%的双(二甲基氨基)二甲基硅烷,约5.00重量%的双(二甲基氨基)二甲基硅烷,约10.00重量%的双(二甲基氨基)二甲基硅烷,约20.00重量%的双(二甲基氨基)二甲基硅烷,约30.00重量%的双(二甲基氨基)二甲基硅烷,约40.00重量%的双(二甲基氨基)二甲基硅烷,约50.00重量%的双(二甲基氨基)二甲基硅烷,约60.00重量%的双(二甲基氨基)二甲基硅烷,约70.00重量%的双(二甲基氨基)二甲基硅烷,约80.00重量%的双(二甲基氨基)二甲基硅烷,约90.00重量%的双(二甲基氨基)二甲基硅烷。
在前述方法的其他实施方式中,包含双(乙基甲基氨基)二甲基硅烷和四(乙基甲基氨基)铪的组合物的实例包括,但不限于,约2.00重量%的双(乙基甲基氨基)二甲基硅烷,约3.00重量%的双(乙基甲基氨基)二甲基硅烷,约4.00重量%的双(乙基甲基氨基)二甲基硅烷,约5.00重量%的双(乙基甲基氨基)二甲基硅烷,约10.00重量%的双(乙基甲基氨基)二甲基硅烷,约20.00重量%的双(乙基甲基氨基)二甲基硅烷,约30.00重量%的双(乙基甲基氨基)二甲基硅烷,约40.00重量%的双(乙基甲基氨基)二甲基硅烷,约50.00重量%的双(乙基甲基氨基)二甲基硅烷,约60.00重量%的双(乙基甲基氨基)二甲基硅烷,约70.00重量%的双(乙基甲基氨基))二甲基硅烷,约80.00重量%的双(乙基甲基氨基)二甲基硅烷,约90.00重量%的双(乙基甲基氨基)二甲基硅烷。
在又一方面,提供了一种将硅掺杂氧化铪膜沉积到衬底上的方法,其包括以下步骤:
a)在反应器中提供所述衬底;
b)引入至少一种具有式LxHf(NR1R2)4-x的铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,x=0、1或2;
c)用吹扫气体吹扫所述反应器;
d)向所述反应器中引入含氧源;和
e)用所述吹扫气体吹扫所述反应器;
f)向所述反应器中引入组合物,其包含:(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2;
g)用吹扫气体吹扫所述反应器;
h)向所述反应器中引入含氧源;和
i)用所述吹扫气体吹扫所述反应器;
其中重复步骤b)-e)直到沉积期望厚度的膜;所述方法在约100℃-600℃范围的温度下进行。在一些实施方式中,步骤d)中的含氧源是水,在其他实施方式中,步骤d)和h)中的含氧源两者是水,因为其他含氧源如臭氧、氧等离子体可潜在地氧化衬底材料如硅或金属氮化物。
在又一方面,提供了一种将硅掺杂氧化铪膜沉积到衬底上的***,其包括:
在反应器中的所述衬底;
组合物,其包含:
(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2;
和所述***处于100℃-600℃范围的温度下。
在另一方面,用于沉积硅掺杂氧化铪膜的组合物还包含:(c)溶剂。
在一个方面,本发明还涉及利用至少一种前体化合物或至少一种前体化合物与溶剂的器皿或容器;其中所述至少一种前体化合物选自:(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2。
可与本文公开的方法一起使用的合适的有机氨基硅烷前体的实例包括但不限于三(二甲基氨基)甲基硅烷、三(二乙基氨基)甲基硅烷、三(乙基甲基氨基)甲基硅烷、三(吡咯烷基)甲基硅烷、三(二甲基氨基)乙基硅烷、三(二乙基氨基)乙基硅烷、三(乙基甲基氨基)乙基硅烷、三(吡咯烷基)乙基硅烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(吡咯烷基)二甲基硅烷、双(二甲基氨基)二乙基硅烷、双(二乙基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(吡咯烷基)二乙基硅烷、二甲基氨基三甲基硅烷、二乙基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、吡咯烷基三甲基硅烷、二甲基氨基三乙基硅烷、二乙基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、吡咯烷基三乙基硅烷、二甲基氨基苯基二甲基硅烷、二乙基氨基苯基二甲基硅烷、乙基甲基氨基苯基二甲基硅烷、吡咯烷基苯基二甲基硅烷、三(二甲基氨基)苯基硅烷、三(二乙基氨基)苯基硅烷、三(乙基甲基氨基)苯基硅烷、三(吡咯烷基)苯基硅烷、1-二甲基氨基-1,1,3,3,3-五甲基二硅氧烷、1,1,1,4,4,4-六(二甲基氨基)-1,4-二硅杂丁烷、2,5-双(二甲基氨基)-2,5-二甲基-2,5-二硅杂己烷及其组合。
可与本文公开的方法一起使用的合适的有机氨基铪前体的实例包括但不限于四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基氨基)铪(TEMAH)、四(吡咯烷基)铪、环戊二烯基三(二甲基氨基)铪(CpHf(NMe2)3)、甲基环戊二烯基三(二甲基氨基)铪(MeCpHf(NMe2)3)、乙基环戊二烯基三(二甲基氨基)铪(EtCpHf(NMe2)3)、环戊二烯基三(二甲基氨基)铪(CpHf(NMe2)3)、甲基环戊二烯基三(乙基甲基氨基)铪(MeCpHf(NMeEt)3)、乙基环戊二烯基三(乙基甲基氨基)铪(EtCpHf(NMeEt)3)、环戊二烯基三(二乙基氨基)铪(CpHf(NEt2)3)、甲基环戊二烯基三(二乙基氨基)铪(MeCpHf(NEt2)3)、乙基环戊二烯基三(二乙基氨基)铪(EtCpHf(NEt2)3)、双(环戊二烯基)双(二甲基氨基)铪(Cp2Hf(NMe2)2)、双(甲基环戊二烯基)双(二甲基氨基)铪((MeCp)2Hf(NMe2)2)、双(乙基环戊二烯基)双(二甲基氨基)铪((EtCp)2Hf(NMe2)2)、双(环戊二烯基)双(乙基甲基氨基)铪(Cp2Hf(NMeEt)2)、双(甲基环戊二烯基)双(乙基甲基氨基)铪((MeCp)2Hf(NMeEt)2)、双(乙基环戊二烯基)双(乙基甲基氨基)铪((EtCp)2Hf(NMeEt)2)、双(环戊二烯基)双(二乙基氨基)铪((Cp2Hf(NEt2)2)、双(甲基环戊二烯基)双(二乙基氨基)铪((MeCp)2Hf(NEt2)3)、双(乙基环戊二烯基)双(二乙基氨基)铪((EtCp)2Hf(NEt2)2)、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)铪及其组合。
在本文所述组合物的某些实施方式中,示例性溶剂可包括但不限于醚、叔胺、烷基烃、芳族烃、硅氧烷、叔氨基醚及其组合。
没有溶剂的制剂中有机氨基硅烷前体化合物的重量%可以在0.10-99.90重量%;或0.10-30.00重量%、0.10-20.00重量%、0.10-10.00重量%;或5.00-30.00重量%、5.00-20.00重量%、5.00-10.00重量%、0.10-5.00重量%之间变化。
含有溶剂的制剂中有机氨基硅烷前体化合物的重量%可以在0.10-99.90重量%,或10.00-90.00重量%,或20.00-80.00重量%,或30.00-70.00重量%,或40.00-60.00重量%之间变化。
没有溶剂的制剂中有机氨基铪前体化合物的重量%可以在0.10-99.90重量%;或0.10-30.00重量%、0.10-20.00重量%、0.10-10.00重量%;或5.00-30.00重量%、5.00-20.00重量%、5.00-10.00重量%、0.10-5.00重量%之间变化。
含有溶剂的制剂中有机氨基铪前体化合物的重量%可以在0.10-99.90重量%,或10.00-90.00重量%,或20.00-80.00重量%,或30.00-70.00重量%,或40.00-60.00重量%之间变化。
在另一方面,本发明还涉及一种使用所公开的组合物、方法和***沉积的硅掺杂氧化铪膜,其具有0.50-8.00摩尔%,优选2.00-6.00摩尔%,最优选2.00-5.00摩尔%的硅掺杂水平。在一些实施方式中,铁电材料包含铪、硅和氧;在其他实施方式中,铁电材料包含铪、硅、氧和碳。碳含量可小于约1.00原子%或更低,约0.50原子%或更低,约0.10原子%或更低,约0.01原子%或更低;在又一个实施方式中,铁电材料包含铪、硅、氧、碳和氮。碳含量可小于约1.00原子%或更低,约0.50原子%或更低,约0.10原子%或更低,约0.01原子%或更低,且氮含量可小于约1.00原子%或更低,约0.50原子%或更低,约0.10原子%或更低,约0.01原子%或更低。
在又一方面,本发明还涉及一种含有使用公开的组合物、方法和***沉积的硅掺杂氧化铪膜的铁电材料,所述硅掺杂氧化铪膜具有0.50-8.00摩尔%,优选2.00-6.00摩尔%,最优选3.00-5.00摩尔%的硅掺杂水平。
在一些实施方式中,可以通过直接液体注射将组合物输送到用于含硅膜的反应室中。
本发明的实施方式可以单独使用或彼此组合使用。
在整个说明书中,“硅掺杂水平”定义为(Si原子%)/(Si原子%+Hf原子%),即,如通过XPS(X射线光电子能谱法)测量的,Si原子百分比除以Si原子和Hf原子百分比之和。例如,硅掺杂氧化铪膜中3摩尔%的硅掺杂水平意味着氧化铪材料中的100个Hf原子中的3个已经被硅原子替代,使得硅掺杂氧化铪膜中的Si:Hf摩尔比为3:97(3/(3+97)=3摩尔%硅掺杂水平)。在该例子中,HfO2中的3摩尔%硅掺杂水平相当于如通过XPS测量的1.0原子%的总体Si含量。因此,0.5-8摩尔%的硅掺杂水平对应于如通过XPS测量的0.17原子%至2.67原子%,2-6摩尔%的硅掺杂水平对应于如通过XPS测量的0.67原子%至2.00原子%。硅掺杂水平可具有最多两个小数点位,例如,氧化铪材料中的99个Hf原子中的2个被硅原子替代,硅掺杂水平定义为2.02摩尔%。
在整个说明书中,“重量%”定义为有机氨基硅烷前体的重量/(有机氨基硅烷前体的重量+有机氨基铪前体的重量)或有机氨基硅烷前体的重量/(有机氨基硅烷前体的重量+有机氨基铪前体的重量+溶剂的重量)。重量%可以最多有两个小数点位,即0.10-5.00重量%的范围覆盖从0.10至5.00重量%的具有两个小数点位的任何重量百分比。
在整个说明书中,在百分比或温度的值之前使用词语“约”来表示该值可具有至多10%的误差棒,例如约10.00重量%覆盖9.00重量%至11.00重量%的重量百分比。类似地,约2.00重量%覆盖1.80-2.20重量%的任何百分比。
在上式和整个说明书中,术语“烷基”表示具有1-10个碳原子的直链或支链官能团。示例性的直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。示例性的支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可以具有一个或多个与其连接的官能团,例如但不限于与其连接的烷氧基、二烷基氨基或其组合。在其他实施方式中,烷基不具有一个或多个与其连接的官能团。烷基可以是饱和的,或者是不饱和的。
在整个说明书中,术语“烷基烃”是指直链或支链C1-C20烃、环状C6-C20烃。示例性的烃包括但不限于己烷、庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷、环癸烷、乙基环己烷、乙基环辛烷。
在整个说明书中,术语“芳族烃”是指C6-C20芳族烃。示例性的芳族烃包括但不限于甲苯、均三甲苯。
在某些实施方式中,式中的取代基R1和R2可以连接在一起以形成环结构。如技术人员将理解的,其中R1和R2连接在一起以形成环。在这些实施方式中,环结构可以是不饱和的,例如环烷基环,或饱和的,例如芳基环。此外,在这些实施方式中,环结构也可以被或不被一个或多个原子或基团取代。示例性的环状环基团包括但不限于吡咯烷基、哌啶子基和2,6-二甲基哌啶子基。然而,在其他实施方式中,取代基R1和R2不连接形成环结构。
在整个说明书中,术语“有机氨基”是指R1R2N-,其中R1和R2独立地选自直链或支链C1-C6烷基。在一些情况下,R1和R2连接以形成环状环结构,在其他情况下,R1和R2不连接形成环状环结构。其中R1和R2不连接形成环状环的示例性有机氨基基团包括但不限于二甲基氨基、乙基甲基氨基、二乙基氨基。其中R1和R2连接以形成环状环的示例性有机氨基包括但不限于吡咯烷基,其中R1=丙基且R2=Me,哌啶子基,其中R1=丙基且R2=Et,2,6-二甲基哌啶子基,其中R1=异丙基且R2=仲丁基,和2,5-二甲基吡咯烷基,其中R1=R2=异丙基。
在整个说明书中,术语“烷基取代的环戊二烯基”是指与环戊二烯基键合的直链或支链C1-C6烃。示例性的烷基取代的环戊二烯基包括但不限于甲基环戊二烯基、乙基环戊二烯基、异丙基环戊二烯基、仲丁基环戊二烯基和叔丁基环戊二烯基。在一些具体实施方式中,烷基具有可与铪配位的氮原子。示例性的例如烷基包括但不限于N-甲基-2,4-环戊二烯-1-乙胺、N-乙基-2,4-环戊二烯-1-乙胺。具有这种烷基取代的环戊二烯基的有机氨基铪包括但不限于(N-甲基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基)]双(乙基甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)铪。
在整个说明书中,术语“组合物”或“制剂”是可互换的。组合物选自:
(1)(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2;和
(2)(a)至少一种具有式RxR3Si(NR1R2)3-x的有机氨基硅烷前体化合物;其中R选自卤素(Cl、Br、I)或者直链或支链C1-C6烷基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;R3选自直链或支链C1-C6烷基;x=0、1或2;和(b)至少一种具有式LxHf(NR1R2)4-x的有机氨基铪前体化合物,其中L选自环戊二烯基或烷基取代的环戊二烯基;R1和R2独立地选自直链或支链C1-C6烷基,其中R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构,x=0、1或2;和(c)溶剂。
在上述一个或多个实施方式中,含氧源是选自氧等离子体、臭氧、水蒸气、水蒸气等离子体、具有或不具有惰性气体的氮氧化物(例如,N2O、NO、NO2)等离子体、碳氧化物(例如,CO2、CO)等离子体及其组合的源。
在某些实施方式中,含氧源还包含惰性气体。在这些实施方式中,惰性气体选自氩气、氦气、氮气、氢气及其组合。
在可选实施方式中,含氧源不包含惰性气体。
在整个说明书中,术语“ALD或ALD样”是指包括但不限于以下过程的工艺:a)将包括硅前体和反应性气体的每种反应物顺序引入反应器如单晶片ALD反应器、半批式ALD反应器或批式炉ALD反应器中;b)通过将衬底移动或旋转到反应器的不同区段而使包括硅前体和反应气体的每种反应物暴露于衬底,并且每个区段通过惰性气幕分隔,即空间ALD反应器或辊至辊ALD反应器。ALD或ALD样工艺的典型循环包括至少四个如前所述的步骤。
在某些实施方式中,使用本文所述方法沉积的硅掺杂氧化铪膜在包含臭氧、水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧气(O2)、氧等离子体、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合的含氧源的存在下形成。
含氧源通过例如原位或远程等离子体发生器以提供包含氧的含氧等离子体源,例如氧等离子体、包含氧和氩的等离子体、包含氧和氦的等离子体、臭氧等离子体、水等离子体、一氧化二氮等离子体或二氧化碳等离子体。
在某些实施方式中,含氧源包含以约1至约2000标准立方厘米每分钟(sccm)或约1至约1000sccm的流速引入反应器中的氧源气体。
含氧源可以引入约0.1至约100秒的时间。
在一个特定的实施方式中,含氧源包含温度为10℃或更高的水。
在其中通过PEALD或等离子体增强循环CVD工艺沉积膜的实施方式中,取决于ALD反应器的体积,前体脉冲可具有大于0.01秒的脉冲持续时间(例如,约0.01至约0.1秒,约0.1至约0.5秒,约0.5至约10秒,约0.5至约20秒,约1至约100秒),并且含氧源可具有小于0.01秒的脉冲持续时间(例如,约0.001至约0.01秒)。
本文公开的沉积方法可以涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。
示例性的吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)及其混合物。在某些实施方式中,将吹扫气体如Ar以约10至约2000sccm的流速供应到反应器中约0.1-1000秒,从而吹扫可能残留在反应器中的未反应的材料和任何副产物。
供应前体、氧源、和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间来进行以改变所得介电膜的化学计量组成。
将能量施加至硅前体/制剂、含氧源或其组合中的至少一种以引发反应并在衬底上形成硅掺杂氧化铪,然后将所得膜转化成适合作为铁电材料的正交晶形式。
这种能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合来提供。热退火可在高达1000℃的温度下进行。
在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。
在其中沉积涉及等离子体的实施方式中,等离子体产生的过程可以包括直接等离子体产生的过程,其中在反应器中直接产生等离子体,或者可选地,远程等离子体产生的过程,其中在反应器外部产生等离子体并且供应到反应器中。
可以以各种方式将至少一种制剂输送至反应室,例如等离子体增强循环CVD或PEALD反应器或批式炉型反应器。
在一个实施方式中,可以使用液体输送***。
在另一个实施方式中,本文描述了利用用于沉积硅掺杂氧化铪的包含至少一种有机氨基硅烷前体化合物和/或至少一种有机氨基铪前体化合物和/或溶剂的组合物的器皿或容器。
在一个特别的实施方式中,器皿或容器(器皿和容器是可互换的)包括至少一个配有适当的阀和配件的可加压容器(优选地由不锈钢构成),以允许将一种或多种前体输送到反应器中用于沉积工艺,例如CVD或ALD工艺。在该实施方式或其它实施方式中,在由不锈钢构成的可加压容器中提供包含至少一种有机氨基硅烷前体化合物和至少一种有机氨基铪前体化合物的组合物,并且前体的纯度为98重量%或更高或者99.5%或更高(其适用于大多数半导体应用),以及至少一种选自氩气(Ar)、氮气(N2)、氦气(He)、氖气及其组合的惰性气体。
在某些实施方式中,如果需要,这样的容器还可具有用于将前体与一种或多种另外的前体混合的装置。在这些或其他实施方式中,容器的内容物可以与另外的前体预混合。
在某些实施方式中,根据工艺要求将从组合物罐连接到反应室的气体管线加热到一个或多个温度,并将本文所述组合物的容器保持在一个或多个用于鼓泡的温度下。在其他实施方式中,将包含至少一种有机氨基硅烷前体化合物和至少一种本文所述的有机氨基铪前体化合物的组合物注射到保持在一个或多个温度下的蒸发器中以进行直接液体注射。
在一个替代实施方式中,可以采用组合的液体输送和闪蒸工艺单元,例如由MSPCorporation of Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)输送,这导致可重复的输送和沉积而不使前体热分解。
在液体输送方式中,本文所述的前体可以以纯液体形式输送,或者可以在包含其的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可以包含具有合适特性(如在给定的最终用途应用中可能期望和有利的)的溶剂组分以在衬底上形成膜。
如前所述,制剂中至少一种有机氨基硅烷或有机氨基铪前体化合物的纯度水平足够高以对于可靠半导体制造是可接受的。在某些实施方式中,本文所述的至少一种有机氨基硅烷前体化合物包含小于2重量%,或小于1重量%,或小于0.5重量%的一种或多种以下杂质:游离胺、游离卤化物或卤素离子和较高分子量的物质。本文所述的硅前体的较高纯度水平可通过以下方法中的一种或多种获得:纯化、吸附和/或蒸馏。
根据本发明的有机氨基硅烷或有机氨基铪前体化合物和/或包含有机氨基硅烷或有机氨基铪前体化合物的组合物优选基本上不含卤离子。如本文所用,术语“基本上不含”在其涉及卤离子(或卤化物),例如氯化物和氟化物、溴化物和碘化物时,是指小于5ppm(按重量计),优选小于3ppm,更优选小于1ppm,最优选为0ppm。已知氯化物充当有机氨基硅烷的分解催化剂。最终产物中显著水平的氯化物可导致有机氨基硅烷前体化合物降解。有机氨基硅烷的逐渐降解可直接影响膜沉积工艺,使得半导体制造商难以满足膜规格。此外,制剂的较高降解速率对保质期或稳定性产生负面影响,从而使得难以保证1-2年的保质期。
在本文所述方法的一个实施方式中,可以使用等离子体增强循环沉积工艺如PEALD样或PEALD,其中使用至少一种有机氨基硅烷前体化合物和含氧源进行沉积。PEALD样工艺被定义为等离子体增强循环CVD工艺,但仍提供高保形的含铪、硅和氧的膜。
在某些实施方式中,根据工艺要求将从前体罐连接至反应室的气体管线加热至一个或多个温度,并且包含至少一种有机氨基硅烷和/或至少一种有机氨基铪至少一种制剂的容器在室温下用于直接液体注射(DLI)。在其它实施方式中,包含至少一种有机氨基硅烷和/或至少一种有机氨基铪前体化合物的制剂被注射到保持在室温至约60℃范围的一个或多个温度下的蒸发器中用于直接液体注射。
可以使用氩气和/或其他气体的流作为载气以帮助在前体脉冲期间将包含至少一种有机氨基硅烷和/或至少一种有机氨基铪前体化合物的至少一种制剂的蒸气输送到反应室。
在某些实施方式中,反应室工艺压力为约50毫托-10托。在其他实施方式中,反应室工艺压力可以是至多760托(例如,约50毫托至约100托)。
在典型的PEALD或PEALD样工艺(例如PECCVD工艺)中,衬底如氧化硅衬底在反应室中的加热台上加热,反应室最初暴露于有机氨基硅烷化合物和/或有机氨基铪前体化合物以允许复合物化学吸附到衬底表面上。
吹扫气体如氩气从处理室中吹扫掉未吸收的过量复合物。在充分吹扫之后,可以将氧源引入反应室中以与吸收的表面反应,然后进行另一次气体吹扫以从室中除去反应副产物。可以重复该工艺循环以获得期望膜厚度。在一些情况下,泵送可以代替惰性气体吹扫,或者可以采用两者来除去未反应的硅前体。
在这个或其他实施方式中,应理解,本文描述的方法的步骤可以以各种顺序执行,可以顺序执行,可以同时执行(例如,在另一步骤的至少一部分期间),及其任何组合。例如,供应前体和氧源气体的相应步骤可以通过改变供应它们的持续时间来进行以改变所得介电膜的化学计量组成。而且,在前体或氧化剂步骤之后的吹扫时间可以最小化至<0.1s,使得改善生产量。
可以使用各种商业ALD反应器如单晶片、半批式、批式炉或辊对辊反应器来沉积硅掺杂氧化铪。
本文所述方法的工艺温度使用以下温度中的一个或多个作为端点:100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃、300℃、325℃、350℃、375℃、400℃、425℃、450℃、500℃、525℃、550℃;优选200℃、225℃、250℃、275℃、300℃。
示例性温度范围包括但不限于以下:约200℃至约300℃;或约100℃至约300℃;或约150℃至约290℃;或约125℃至约280℃,或约250℃至约300℃。
在本文所述方法的再进一步的实施方式中,对从ALD、ALD样、PEALD或PEALD样沉积的膜或如此沉积的膜进行处理步骤(沉积后)以转化成适用于铁电材料的晶体相。处理步骤可以在沉积步骤的至少一部分期间、在沉积步骤之后及其组合方式进行。
示例性的后处理步骤包括,但不限于,通过高温热退火的处理,例如在500-1000℃,或600-900℃,或600-800℃温度下的快速热退火(RTA)或闪光灯退火(FLA),以将如此沉积的硅掺杂氧化铪转化成正交晶相。热处理可以通过一个步骤或多个步骤进行。还可以使用其他后处理如等离子体处理;紫外线(UV)光处理;激光;电子束处理及其组合来影响膜的一种或多种性质。
在一个特别的实施方式中,在沉积工艺期间,间歇地处理如此沉积的膜。这些间歇或沉积中处理可以,例如在每个ALD循环之后、在每一定数量的ALD循环之后进行,例如但不限于一(1)个ALD循环、两(2)个ALD循环、五(5)个ALD循环或在每十(10)个或更多个ALD循环之后。所得硅掺杂氧化铪的厚度范围为10埃-500埃,或30埃-400埃,或40埃-200埃,或40埃-100埃,或40埃-80埃。
如前所述,本文所述的方法可用于在衬底的至少一部分上沉积硅掺杂氧化铪膜。合适的衬底的实例包括但不限于硅、SiO2、氮化钛、氮化钨、氮化钽、氮化钒、金属如铜、钛、钨、钴、钌、铂、钯、铝和铁电器件制造中的任何其他适合的电极材料。
膜与各种后续处理步骤相容,例如化学机械平坦化(CMP)和各向异性蚀刻工艺。
沉积的膜具有多种应用,其包括但不限于计算机芯片、光学器件、磁信息存储器、支撑材料或衬底上的涂层、微机电***(MEMS)、纳米机电***、薄膜晶体管(TFT)、光发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。所得固体硅掺杂氧化铪的潜在用途包括但不限于浅沟槽绝缘、层间电介质、钝化层、蚀刻停止层、双重间隔物的部分和用于图案化的牺牲层。
实施例
在以下实施例,除非另有说明,否则性能将从沉积在作为衬底的电阻率5-20Ω-cm的硅晶片或者作为衬底的具有TiN 500埃//Ti 50埃/热SiO2 3000埃/Si子结构的PVD TiN晶片上的样品膜获得。使用具有淋蓬头设计的CN-1反应器进行所有膜沉积,直接等离子体为13.56MHz。在典型工艺条件下,除非另有说明,室压力固定为约1至约5托的压力。另外的惰性气体用于维持室压力。
使用直接液体注射(DLI)***(MSP Corp,USA)将制剂作为蒸气输送。在200mm晶片的电极区域上使用的典型RF功率为300W。膜沉积包括在表1中对热ALD和等离子体增强ALD列出的步骤。表1中的步骤a-d构成一个ALD或PEALD循环,并且除非另有说明,重复总共100或200或300或500次以获得期望的膜厚度。
使用椭圆偏振计测量沉积的膜的折射率(RI)和厚度。使用标准方程计算膜不均匀度:不均匀度%=((最大厚度-最小厚度)/(2*平均(avg)厚度))。使用傅里叶变换红外(FTIR)光谱和X射线光电子能谱(XPS)分析膜结构和组成。用X射线反射计(XRR)测量膜的密度。
表1.ALD硅掺杂氧化铪膜中的沉积步骤
Figure GDA0003624323230000271
实施例1.
使用在包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪的制剂中的约4.4重量%的双(二甲基氨基)二甲基硅烷和作为含氧源的臭氧的ALD硅掺杂氧化铪
将硅晶片装入配备有淋蓬头设计的CN-1反应器中,具有13.56MHz直接等离子体,并加热至200℃,或250℃,或300℃,室压力为1托。
使用DLI将作为制剂的包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪的制剂中的4.4重量%双(二甲基氨基)二甲基硅烷以50mg/min或100mg/min的流速通过雾化器输送到反应器中。
ALD循环由表1中提供的工艺步骤组成,并使用以下工艺参数:
a.向反应器中引入制剂前体
氩气流:1000sccm
制剂前体脉冲:1-5秒
b.惰性气体吹扫
氩气流:1000sccm
吹扫时间:20秒
c.引入臭氧
氩气流:1000sccm
臭氧脉冲:5-20秒
d.吹扫
氩气流:1000sccm
吹扫时间:20秒
表2.沉积条件和XPS结果的总结。
Figure GDA0003624323230000281
aLFC流速=100mg/min;bLFC流速=50mg/min
重复步骤a-d一定循环数以提供一定厚度的硅掺杂氧化铪,其硅掺杂水平为0.5-8摩尔%,如表2所示。
实施例2
使用包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪的制剂中的约4.4重量%的双(二甲基氨基)二甲基硅烷和作为含氧源的水的ALD硅掺杂氧化铪
将硅晶片装入配备有淋蓬头设计的CN-1反应器中,具有13.56MHz直接等离子体,并加热至300℃,室压力为1托。
使用DLI将作为制剂前体的包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪制剂中的约4.4重量%的双(二甲基氨基)二甲基硅烷以50mg/min的流速通过雾化器输送到反应器中。
ALD循环由表1中提供的工艺步骤组成,并使用以下工艺参数:
a.向反应器中引入制剂前体
氩气流:1000sccm
制剂前体脉冲:1-5秒
b.惰性气体吹扫
氩气流:1000sccm
吹扫时间:20秒
c.引入水蒸气
氩气流:1000sccm
水脉冲:1-10秒
d.吹扫
氩气流:1000sccm
吹扫时间:20秒
将步骤a-d重复一定数量的循环以提供一定厚度的硅掺杂氧化铪,其硅掺杂水平为0.5-8摩尔%,优选2-6摩尔%,最优选3-5摩尔%。
实施例3
使用包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪的制剂中的约4.4重量%的双(二甲基氨基)二甲基硅烷和作为含氧源的氧等离子体的ALD硅掺杂氧化铪
将硅晶片装入配备有淋蓬头设计的CN-1反应器中,具有13.56MHz直接等离子体,且加热至300℃,室压力为1托。
使用DLI将作为制剂前体的包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪制剂中的约4.4重量%的双(二甲基氨基)二甲基硅烷以50mg/min的流速通过雾化器输送到反应器中。
ALD循环由表1中提供的工艺步骤组成,并使用以下工艺参数:
a.向反应器中引入制剂前体
氩气流:1000sccm
制剂前体脉冲:1-5秒
b.惰性气体吹扫
氩气流:1000sccm
吹扫时间:20秒
c.引入氧等离子体
氩气流:1000sccm
氧等离子体脉冲:2-10秒
d.吹扫
氩气流:1000sccm
吹扫时间:20秒
将步骤a-d重复一定数量的循环以提供一定厚度的硅掺杂氧化铪,其硅掺杂水平为0.5-8摩尔%,优选2-6摩尔%,最优选3-5摩尔%。
实施例4
制剂熔点与有机氨基硅烷浓度的相关性
通过混合不同比例的双(二甲基氨基)二甲基硅烷与四(二甲基氨基)铪(TDMAH)产生多种制剂。
如图3所示,通过改变所得制剂中双(二甲基氨基)二甲基硅烷的浓度,制剂的熔点(通过差示扫描量热法测量的)随双(二甲基氨基)二甲基硅烷浓度增加而降低,从而允许制剂的熔点被调节到30℃或更低,这是更适合于通过直接液体注射来输送的制剂。
实施例5
在包含双(二甲基氨基)二甲基硅烷和四(二甲基氨基)铪的制剂中的约9重量%的双(二甲基氨基)二甲基硅烷的热稳定性
将大约1g包含四(二甲基氨基)铪(TDMAH)中的约9重量%的双(二甲基氨基)二甲基硅烷的制剂在氮气下在密封的不锈钢管中在60℃下加热7天。
通过1H和13C NMR谱进行的分析显示,没有可检测的降解或组成变化,表明该制剂是稳定的并且适合于气相沉积,因为有机氨基硅烷和有机氨基铪组分两者具有相同的氨基基团,即二甲基氨基基团。
比较例5
包含双(二甲基氨基)甲基硅烷和四(二甲基氨基)铪的制剂
通过向四(二甲基氨基)铪中加入各种不同量的双(二甲基氨基)甲基硅烷产生多种制剂。当通过1H和13C NMR谱分析这些混合物的液体相时,鉴定作为主要组分的双(二甲基氨基)甲基硅烷和四(二甲基氨基)铪,以及显著量的三(二甲基氨基)甲基硅烷和与二甲基氨基铪氢化物物质一致的几种其他杂质。这表明在具有一个Si-H基团的双(二甲基氨基)甲基硅烷与四(二甲基氨基)铪之间发生了氨基/氢化物交换,并且它们出于本发明的目的是彼此不相容的。
应将前述实施例和实施方式的描述视为说明而非限制由权利要求所限定的本发明。如将容易理解的,可以利用上述特征的许多变化和组合,而不脱离如权利要求中所阐述的本发明。这样的变化旨在包括在以下权利要求的范围内。

Claims (34)

1.一种用于沉积硅掺杂氧化铪膜的组合物,所述组合物包含:
(a)0.10至99.90wt%的至少一种具有下式的有机氨基硅烷前体化合物
RxR3Si(NR1R2)3-x
其中
R是直链或支链C1-C6烷基;
R1、R2和R3独立地选自直链或支链C1-C6烷基;其中
有机氨基基团中的R1和R2连接以形成环状环结构;或不连接形成环状环结构;和
x=0、1或2;
(b)0.10至99.00wt%的至少一种具有下式的有机氨基铪前体化合物
LxHf(NR1R2)4-x
其中
L是环戊二烯基或烷基取代的环戊二烯基;
有机氨基基团中R1和R2独立地选自直链或支链C1-C6烷基;其中
R1和R2连接以形成环状环结构或R1和R2不连接形成环状环结构;和
x=0、1或2;
其中所述组合物的熔点为≤30℃,以及
其中所述至少一种有机氨基硅烷前体和所述至少一种有机氨基铪前体具有相同的有机氨基基团。
2.根据权利要求1所述的组合物,其中所述至少一种有机氨基硅烷前体化合物选自三(二甲基氨基)甲基硅烷、三(二乙基氨基)甲基硅烷、三(乙基甲基氨基)甲基硅烷、三(吡咯烷基)甲基硅烷、三(二甲基氨基)乙基硅烷、三(二乙基氨基)乙基硅烷、三(乙基甲基氨基)乙基硅烷、三(吡咯烷基)乙基硅烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(吡咯烷基)二甲基硅烷、双(二甲基氨基)二乙基硅烷、双(二乙基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(吡咯烷基)二乙基硅烷、二甲基氨基三甲基硅烷、二乙基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、吡咯烷基三甲基硅烷、二甲基氨基三乙基硅烷、二乙基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、吡咯烷基三乙基硅烷及其组合;和
所述至少一种有机氨基铪前体化合物选自四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基氨基)铪(TEMAH)、四(吡咯烷基)铪、环戊二烯基三(二甲基氨基)铪(CpHf(NMe2)3)、甲基环戊二烯基三(二甲基氨基)铪(MeCpHf(NMe2)3)、乙基环戊二烯基三(二甲基氨基)铪(EtCpHf(NMe2)3)、环戊二烯基三(乙基甲基氨基)铪(CpHf(NMeEt)3)、甲基环戊二烯基三(乙基甲基氨基)铪(MeCpHf(NMeEt)3)、乙基环戊二烯基三(乙基甲基氨基)铪(EtCpHf(NMeEt)3)、环戊二烯基三(二乙基氨基)铪(CpHf(NEt2)3)、甲基环戊二烯基三(二乙基氨基)铪(MeCpHf(NEt2)3)、乙基环戊二烯基三(二乙基氨基)铪(EtCpHf(NEt2)3)、双(环戊二烯基)双(二甲基氨基)铪(Cp2Hf(NMe2)2)、双(甲基环戊二烯基)双(二甲基氨基)铪((MeCp)2Hf(NMe2)2)、双(乙基环戊二烯基)双(二甲基氨基)铪((EtCp)2Hf(NMe2)2)、双(环戊二烯基)双(乙基甲基氨基)铪(Cp2Hf(NMeEt)2)、双(甲基环戊二烯基)双(乙基甲基氨基)铪((MeCp)2Hf(NMeEt)2)、双(乙基环戊二烯基)双(乙基甲基氨基)铪((EtCp)2Hf(NMeEt)2)、双(环戊二烯基)双(二乙基氨基)铪((Cp2Hf(NEt2)2)、双(甲基环戊二烯基)双(二乙基氨基)铪((MeCp)2Hf(NEt2)3)、双(乙基环戊二烯基)双(二乙基氨基)铪((EtCp)2Hf(NEt2)2)及其组合。
3.根据权利要求1所述的组合物,其中所述至少一种有机氨基硅烷前体化合物是双(二甲基氨基)二甲基硅烷;且所述至少一种有机氨基铪前体化合物是四(二甲基氨基)铪。
4.根据权利要求1所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有0.10-30.00重量%的范围;且所述至少一种有机氨基铪前体化合物具有0.10-30.00重量%的范围。
5.根据权利要求4所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有0.10-20.00重量%的范围。
6.根据权利要求4所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有0.10-10.00重量%的范围。
7.根据权利要求4所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有5.00-30.00重量%的范围。
8.根据权利要求4所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有5.00-20.00重量%的范围。
9.根据权利要求4所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有5.00-10.00重量%的范围。
10.根据权利要求4所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有0.10-5.00重量%的范围。
11.根据权利要求4所述的组合物,其中所述至少一种有机氨基铪前体化合物具有0.10-20.00重量%的范围。
12.根据权利要求4所述的组合物,其中所述至少一种有机氨基铪前体化合物具有0.10-10.00重量%的范围。
13.根据权利要求4所述的组合物,其中所述至少一种有机氨基铪前体化合物具有5.00-30.00重量%的范围。
14.根据权利要求4所述的组合物,其中所述至少一种有机氨基铪前体化合物具有5.00-20.00重量%的范围。
15.根据权利要求4所述的组合物,其中所述至少一种有机氨基铪前体化合物具有5.00-10.00重量%的范围。
16.根据权利要求4所述的组合物,其中所述至少一种有机氨基铪前体化合物具有0.10-5.00重量%的范围。
17.根据权利要求1所述的组合物,其还包含(c)选自醚、叔胺、烷基烃、芳族烃、硅氧烷、叔氨基醚及其组合的溶剂;所述至少一种有机氨基硅烷前体化合物具有10.00-90.00重量%的范围;且所述至少一种有机氨基铪前体化合物具有10.00-90.00重量%的范围。
18.根据权利要求17所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有20.00-80.00重量%的范围。
19.根据权利要求17所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有30.00-70.00重量%的范围。
20.根据权利要求17所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有40.00-60.00重量%的范围。
21.根据权利要求17所述的组合物,其中所述至少一种有机氨基铪前体化合物具有20.00-80.00重量%的范围。
22.根据权利要求17所述的组合物,其中所述至少一种有机氨基铪前体化合物具有30.00-70.00重量%的范围。
23.根据权利要求17所述的组合物,其中所述至少一种有机氨基硅烷前体化合物具有40.00-60.00重量%的范围。
24.一种将包含硅、铪和氧的膜沉积到衬底上的方法,所述方法包括:
a)在反应器中提供所述衬底;
b)向所述反应器中引入权利要求1至3任一项中所述的组合物;
c)用吹扫气体吹扫所述反应器;
d)向所述反应器中引入含氧源;和
e)用所述吹扫气体吹扫所述反应器;
其中
所述组合物的熔点为≤30℃;
所述含氧源选自氧等离子体、臭氧、水蒸气、水蒸气等离子体、氮氧化物等离子体、碳氧化物等离子体及其组合;
所述吹扫气体选自氩(Ar)、氮(N2)、氦(He)、氖、氢(H2)及其组合;
沉积工艺选自热原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)工艺及其组合;
所述方法在100℃-600℃范围的温度下进行;和
重复b)-e)直到沉积期望厚度的膜。
25.根据权利要求24所述的方法,其中所述组合物通过直接液体注射输送。
26.根据权利要求24所述的方法,其中所述组合物还包含(iii)选自醚、叔胺、烷基烃、芳族烃、硅氧烷、叔氨基醚及其组合的溶剂。
27.根据权利要求24所述的方法,其中所述含氧源还包含选自氩气、氦气、氮气、氢气及其组合的惰性气体。
28.一种将包含硅、铪和氧的膜沉积到衬底上的***,所述***包括:
在反应器中的所述衬底;和
权利要求1至3任一项中所述的组合物;其中
所述***处于100℃-600℃范围的温度下。
29.根据权利要求28所述的***,其中所述组合物还包含(iii)选自醚、叔胺、烷基烃、芳族烃、硅氧烷、叔氨基醚及其组合的溶剂。
30.一种适合作为铁电材料的硅掺杂氧化铪膜,所述硅掺杂氧化铪膜通过使用权利要求1至3任一项中所述的组合物沉积,其中沉积工艺选自热原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)工艺及其组合;和
所述硅掺杂氧化铪膜具有2.00-6.00摩尔%范围的硅掺杂水平。
31.根据权利要求30所述的硅掺杂氧化铪膜,其中所述组合物还包含(3)选自醚、叔胺、烷基烃、芳族烃、硅氧烷、叔氨基醚及其组合的溶剂。
32.一种包含权利要求1至3任一项中所述的组合物的容器,其中所述容器是包括阀门和配件的可加压容器,以允许将所述组合物输送到用于沉积工艺的反应器,所述沉积工艺选自热原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)工艺及其组合。
33.根据权利要求 32所述的容器,其中所述组合物还包含选自醚、叔胺、烷基烃、芳族烃、硅氧烷、叔氨基醚及其组合的溶剂。
34.根据权利要求32所述的容器,其中所述组合物还包含选自氮气、氦气和氩气,及其组合的惰性气体。
CN201880028468.8A 2017-03-15 2018-03-14 用于沉积作为铁电材料的硅掺杂氧化铪的制剂 Active CN110573651B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762471647P 2017-03-15 2017-03-15
US62/471,647 2017-03-15
US201762477812P 2017-03-28 2017-03-28
US62/477,812 2017-03-28
US15/914,968 US11081337B2 (en) 2017-03-15 2018-03-07 Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US15/914,968 2018-03-07
PCT/US2018/022433 WO2018170126A1 (en) 2017-03-15 2018-03-14 New formulation for deposition of silicon doped hafnium oxide as ferroelectric materials

Publications (2)

Publication Number Publication Date
CN110573651A CN110573651A (zh) 2019-12-13
CN110573651B true CN110573651B (zh) 2022-07-22

Family

ID=63519556

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880028468.8A Active CN110573651B (zh) 2017-03-15 2018-03-14 用于沉积作为铁电材料的硅掺杂氧化铪的制剂

Country Status (8)

Country Link
US (1) US11081337B2 (zh)
EP (1) EP3596249A4 (zh)
JP (2) JP6916297B2 (zh)
KR (1) KR102363103B1 (zh)
CN (1) CN110573651B (zh)
SG (1) SG11201908485TA (zh)
TW (1) TWI734896B (zh)
WO (1) WO2018170126A1 (zh)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
EP3688205A4 (en) * 2017-09-26 2021-11-03 Applied Materials, Inc. METHOD, MATERIALS AND PROCESS FOR REMOVING NATIVE OXIDE AND REGROWING DIELECTRIC OXIDES FOR BETTER BIOSENSOR PERFORMANCE
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10741678B2 (en) * 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) * 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
DE102018213051B4 (de) * 2018-08-03 2024-08-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Spannungskontrollierbarer Kondensator mit ferroelektrischer Schicht und Verfahren zum Herstellen des spannungskontrollierbaren Kondensators mit ferroelektrischer Schicht
DE102018213062B3 (de) * 2018-08-03 2019-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Integrierter elektronischer Schaltkreis mit einem ersten Transistor und einem ferroelektrischen Kondensator und Verfahren zu seiner Herstellung
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7329045B2 (ja) * 2018-10-04 2023-08-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
FR3090196B1 (fr) * 2018-12-18 2021-10-29 Commissariat Energie Atomique Procede de fabrication d’une memoire ferroelectrique et procede de co-fabrication d’une memoire ferroelectrique et d’une memoire resistive
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
DE102020100777A1 (de) 2019-08-30 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Analoge nichtflüchtige Speichervorrichtung unter Verwendung eines polyferroelektrischen Films mit zufälligen Polarisationsrichtungen
US11380708B2 (en) 2019-08-30 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Analog non-volatile memory device using poly ferroelectric film with random polarization directions
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP4013906A4 (en) * 2019-09-11 2023-09-06 Versum Materials US, LLC FORMULATION FOR DEPOSITING SILICON-DOPED HAFNIUM OXIDE
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102346432B1 (ko) * 2020-06-01 2021-12-31 동의대학교 산학협력단 강유전체를 이용하여 충전 효율을 개선한 디지털 콘덴서의 구조 및 제조방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11302529B2 (en) * 2020-07-09 2022-04-12 Taiwan Semiconductor Manufacturing Company Ltd. Seed layer for ferroelectric memory device and manufacturing method thereof
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022064314A1 (ja) * 2020-09-25 2022-03-31 株式会社半導体エネルギー研究所 表示システム
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
CN112526663A (zh) * 2020-11-04 2021-03-19 浙江大学 一种基于原子层沉积的吸收膜及其制作方法
US11545506B2 (en) 2020-11-13 2023-01-03 Sandisk Technologies Llc Ferroelectric field effect transistors having enhanced memory window and methods of making the same
US11996462B2 (en) 2020-11-13 2024-05-28 Sandisk Technologies Llc Ferroelectric field effect transistors having enhanced memory window and methods of making the same
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102643460B1 (ko) * 2021-03-31 2024-03-05 오션브릿지 주식회사 박막 성장 억제용 화합물 및 이를 이용한 박막 형성방법
US20220352379A1 (en) * 2021-04-29 2022-11-03 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric memory devices having improved ferroelectric properties and methods of making the same
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004100003A (ja) * 2002-09-11 2004-04-02 Asahi Denka Kogyo Kk イットリウム含有複合酸化物薄膜の製造方法
CN101040371A (zh) * 2004-08-16 2007-09-19 阿维扎技术公司 用于形成多组分介电薄膜的直接液体注入***和方法
CN101052741A (zh) * 2004-09-02 2007-10-10 三菱麻铁里亚尔株式会社 金属有机化学气相沉积法用原料液以及使用该原料液制备含Hf-Si的复合氧化物膜的方法
CN101589461A (zh) * 2006-12-20 2009-11-25 纳诺西斯有限公司 用于电子装置的电子阻断层
CN102453866A (zh) * 2010-10-21 2012-05-16 中国科学院微电子研究所 一种高介电常数栅介质材料及其制备方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3627106B2 (ja) * 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP4363383B2 (ja) * 2004-09-02 2009-11-11 三菱マテリアル株式会社 有機金属化学気相成長法用原料液及び該原料液を用いたHf−Si含有複合酸化物膜の製造方法
KR20070051309A (ko) 2004-09-02 2007-05-17 미쓰비시 마테리알 가부시키가이샤 유기 금속 화학 기상 성장법용 원료액 및 이 원료액을사용한 Hf-Si 함유 복합 산화물막의 제조 방법
JP2007194582A (ja) * 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US8399056B2 (en) * 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US8952188B2 (en) 2009-10-23 2015-02-10 Air Products And Chemicals, Inc. Group 4 metal precursors for metal-containing films
KR101284664B1 (ko) 2010-12-31 2013-07-11 삼성전자주식회사 실릴아민 리간드가 포함된 유기금속화합물, 및 이를 전구체로 이용한 금속 산화물 또는 금속-규소 산화물의 박막 증착 방법
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US8962078B2 (en) * 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
KR101993355B1 (ko) * 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
US9053802B2 (en) 2013-06-04 2015-06-09 Namlab Ggmbh Ferroelectric memory cell for an integrated circuit
US20160315163A1 (en) * 2016-06-30 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process for forming gate insulators for tft structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004100003A (ja) * 2002-09-11 2004-04-02 Asahi Denka Kogyo Kk イットリウム含有複合酸化物薄膜の製造方法
JP4107923B2 (ja) * 2002-09-11 2008-06-25 株式会社Adeka イットリウム含有複合酸化物薄膜の製造方法
CN101040371A (zh) * 2004-08-16 2007-09-19 阿维扎技术公司 用于形成多组分介电薄膜的直接液体注入***和方法
CN101052741A (zh) * 2004-09-02 2007-10-10 三菱麻铁里亚尔株式会社 金属有机化学气相沉积法用原料液以及使用该原料液制备含Hf-Si的复合氧化物膜的方法
CN101589461A (zh) * 2006-12-20 2009-11-25 纳诺西斯有限公司 用于电子装置的电子阻断层
CN102453866A (zh) * 2010-10-21 2012-05-16 中国科学院微电子研究所 一种高介电常数栅介质材料及其制备方法

Also Published As

Publication number Publication date
CN110573651A (zh) 2019-12-13
JP6916297B2 (ja) 2021-08-11
JP2020511797A (ja) 2020-04-16
JP2021180320A (ja) 2021-11-18
US11081337B2 (en) 2021-08-03
US20180269057A1 (en) 2018-09-20
WO2018170126A1 (en) 2018-09-20
TWI734896B (zh) 2021-08-01
EP3596249A4 (en) 2020-12-02
KR20190120431A (ko) 2019-10-23
TW201835373A (zh) 2018-10-01
JP7230126B2 (ja) 2023-02-28
KR102363103B1 (ko) 2022-02-16
EP3596249A1 (en) 2020-01-22
SG11201908485TA (en) 2019-10-30

Similar Documents

Publication Publication Date Title
CN110573651B (zh) 用于沉积作为铁电材料的硅掺杂氧化铪的制剂
CN110573652B (zh) 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN102482771A (zh) 用于气相沉积的含钛前体
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
TWI756959B (zh) 膜或塗層之方法
US11631580B2 (en) Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US20220282367A1 (en) Formulation for deposition of silicon doped hafnium oxide
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US20230323530A1 (en) Niobium, vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant