CN110504149B - 射频电源的脉冲调制***及方法 - Google Patents

射频电源的脉冲调制***及方法 Download PDF

Info

Publication number
CN110504149B
CN110504149B CN201810474665.4A CN201810474665A CN110504149B CN 110504149 B CN110504149 B CN 110504149B CN 201810474665 A CN201810474665 A CN 201810474665A CN 110504149 B CN110504149 B CN 110504149B
Authority
CN
China
Prior art keywords
radio frequency
pulse
overshoot
stage
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810474665.4A
Other languages
English (en)
Other versions
CN110504149A (zh
Inventor
韦刚
卫晶
杨京
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Naura Microelectronics Equipment Co Ltd
Original Assignee
Beijing Naura Microelectronics Equipment Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing Naura Microelectronics Equipment Co Ltd filed Critical Beijing Naura Microelectronics Equipment Co Ltd
Priority to CN201810474665.4A priority Critical patent/CN110504149B/zh
Priority to JP2020564614A priority patent/JP7100717B2/ja
Priority to KR1020207032154A priority patent/KR102497556B1/ko
Priority to PCT/CN2019/083470 priority patent/WO2019218842A1/zh
Publication of CN110504149A publication Critical patent/CN110504149A/zh
Priority to US17/095,278 priority patent/US11749502B2/en
Application granted granted Critical
Publication of CN110504149B publication Critical patent/CN110504149B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

本发明涉及一种脉冲调制射频电源***,包括:调制输出模块和频率调节模块;所述调制输出模块,用于输出脉冲调制射频信号,所述脉冲调制射频信号的每个脉冲周期包括脉冲开启阶段和脉冲关闭阶段,在所述脉冲开启阶段的初始时间段设置有过冲子阶段;所述频率调节模块,与所述调制输出模块相连,用于调节所述过冲子阶段的脉冲调制射频信号的射频频率,以使过冲子阶段的反射功率或反射系数满足要求。本发明还提供反应腔室。不仅容易实现点火成功,而且降低了射频***的设计要求和降低了成本。

Description

射频电源的脉冲调制***及方法
技术领域
本发明属于半导体设备制造技术领域,具体涉及一种射频电源的脉冲调制***及方法。
背景技术
等离子体设备广泛地被应用于制造集成电路IC或MEMS器件的制备工艺中,主要借助射频电源输出射频功率来激发工艺气体形成等离子体。目前,等离子体设备包括电容耦合等离子体(CCP)设备、电感耦合等离子体(ICP)设备、表面波或电子回旋共振等离子体(ECR)
设备。其中,CCP设备是利用电容耦合方式产生等离子体,其结构简单、造价低且容易产生大面积均匀分布的等离子体,适用于介质等类型膜的刻蚀工艺;ECR设备可以在较低的气压下获得密度较高的等离子体,但是其造价相对较高;ICP设备不仅可以在较低的气压下获得高密度的等离子体,而且结构简单,造价低,并且其可以对用于产生且决定的等离子体密度的射频源,以及用于决定入射到晶片上的粒子能量的射频源进行独立控制,适用于金属和半导体等材料的刻蚀工艺。
图1为典型的电感耦合等离子体设备的结构示意图,请参阅图1,该ICP设备包括反应腔室10,在反应腔室10的顶壁上内嵌有介质窗11,在介质窗11的上方设置有感应线圈12,感应线圈12通过第一阻抗匹配器13与第一射频电源14电连接,用以激发反应腔室10内的工艺气体形成等离子体,即,第一射频电源14为用于产生且决定的等离子体密度的射频源;在反应腔室10内设置有用于承载晶片S的静电卡盘15,静电卡盘15通过第二阻抗匹配器16与第二射频电源17电连接,用以吸引等离子体朝向晶片S运动,以实现等离子体对晶片S完成沉积、刻蚀等工艺,即,第二射频电源17为用于决定入射到晶片上的粒子能量的射频源。图1所示的ICP设备中的第一射频电源14和第二射频电源17为连续波射频电源,连续波射频电源输出如图2所示的连续波射频信号,采用图1所示的ICP设备对晶片进行刻蚀工艺,当刻蚀工艺的特征尺寸到20nm及以下时,会对晶片器件造成损伤,影响器件的电学性能,一般称之为造成等离子体诱导损伤(PID)。
目前,为解决上述PID的问题,则第一射频电源14和/或第二射频电源17采用脉冲调制射频电源,脉冲调制射频电源输出如图3所示的脉冲调制射频信号,在脉冲开启(on)阶段的时间T1内调制有射频信号,在脉冲关闭(off)阶段的时间T2内未调制有射频信号,脉冲周期T=T1+T2。图3为理想的脉冲调制射频信号的波形,但是,在实际情况下,在脉冲开启的瞬间需要一定程度的功率过冲(overshot),在脉冲on的初始时间段T3设置有过冲子阶段,即,加载功率过冲信号(功率可称为过冲功率),该过冲功率大于在T1的其他时刻调制的射频信号的功率,过冲功率大于脉冲功率且处于一定范围内,能够保证脉冲射频等离子体的顺利点火。
然而,在实际应用中发现:由于脉冲等离子体点火击穿瞬间的阻抗特性,需要加载加高的过冲功率以及较长的过冲时间T3才能获得点火成功,但是,仍然会在过冲功率足够大和过冲时间足够长的情况下很难点火成功。
发明内容
本发明旨在至少解决现有技术中存在的技术问题之一,提出了一种射频电源的脉冲调制***及方法,不仅容易实现点火成功,而且降低了射频***的设计要求和降低了成本。
为解决上述问题,本发明提供了一种射频电源的脉冲调制***,包括:调制输出模块和频率调节模块;
所述调制输出模块,用于输出脉冲调制射频信号,所述脉冲调制射频信号的每个脉冲周期包括脉冲开启阶段和脉冲关闭阶段,在所述脉冲开启阶段的初始时间段设置有过冲子阶段;
所述频率调节模块,与所述调制输出模块相连,用于调节所述过冲子阶段的所述脉冲调制射频信号的射频频率,以使所述过冲子阶段的反射功率或反射系数满足要求。
优选地,还包括:输入模块;
所述输入模块与所述频率调节模块相连,用于接收输入的所述过冲子阶段的所述脉冲调制射频信号的射频频率,并发送至所述频率设置模块作为预设频率;
所述频率调节模块,用于调节所述过冲子阶段的所述脉冲调制射频信号的射频频率为预设频率。
优选地,所述频率调节模块,用于利用扫频匹配算法自动调节所述过冲子阶段的所述脉冲调制射频信号的射频频率。
优选地,所述反射系数小于0.5。
优选地,所述反射系数小于0.2
优选地,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.5~1倍。
优选地,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.8~1倍。
优选地,所述过冲子阶段的时长≤所述脉冲开启阶段的时长的10%,所述脉冲调制射频信号的脉冲调制频率为10Hz~100KHz,占空比是10%~90%。
本发明还提供一种射频电源的脉冲调制方法,包括以下步骤:
输出脉冲调制射频信号,所述脉冲调制射频信号的每个脉冲周期包括脉冲开启阶段和脉冲关闭阶段,在所述脉冲开启阶段的初始时间段设置有过冲子阶段;
在输出脉冲调制射频信号时,调节所述过冲子阶段的所述脉冲调制射频信号的射频频率,以使所述过冲子阶段的反射功率或反射系数满足要求。
优选地,还包括以下步骤:
接收输入的所述过冲子阶段的所述脉冲调制射频信号的射频频率,并作为预设频率;
所述调节所述过冲子阶段的所述脉冲调制射频信号的射频频率包括:调节所述过冲子阶段的所述脉冲调制射频信号的射频频率为预设频率。
优选地,所述调节所述过冲子阶段的所述脉冲调制射频信号的射频频率包括:利用扫频匹配算法自动调节所述过冲子阶段的所述脉冲调制射频信号的射频频率。
优选地,所述反射系数小于0.5。
优选地,所述反射系数小于0.2。
优选地,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.5~1倍。
优选地,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.8~1倍。
优选地,所述过冲子阶段的时长≤所述脉冲开启阶段的时长的10%,所述脉冲调制射频信号的脉冲调制频率为10Hz~100KHz,占空比是10%~90%。
在本发明中,通过调节过冲子阶段的脉冲调制射频信号的射频频率使反射功率或反射系数满足要求,即能够将过冲功率绝大部分加载至等离子体产生装置的射频电极上,从而容易实现脉冲射频的点火成功;而且,此情况下对过冲功率和过冲子阶段的预设时长的要求降低,这样在较低的过冲功率和较短预设时长的情况下也能实现点火成功,因此,不仅对射频***的损伤大大降低,而且降低了射频***的设计要求和降低了成本。
附图说明
图1为典型的电感耦合等离子体设备的结构示意图;
图2为连续波射频信号的波形;
图3为理想的脉冲调制射频信号的波形;
图4为本发明实施例1提供的射频电源的脉冲调制***的原理框图;
图5为一种具体实施例下入射功率、反射功率和***输出的射频频率的波形图;
图6为本发明实施例2提供的射频电源的脉冲调制射频***的原理框图;
图7为一种具体实施例下入射功率、反射功率和***输出的射频频率的波形图;
图8为本发明实施例提供的射频电源的脉冲调制方法的流程图。
具体实施方式
为使本领域的技术人员更好地理解本发明的技术方案,下面结合附图来对本发明提供的射频电源的脉冲调制***及方法进行详细描述。
在描述本发明提供的脉冲调制射频电源***及反应腔室之前,首先对现有技术中“仍然会在过冲功率足够大和过冲时间足够长的情况下很难点火成功”的技术问题产生的原因进行分析:具体地,虽然在脉冲开启瞬间加载较高的过冲功率(3000W),但由于点火阶段的阻抗特性,其大部分功率反射(约1500W),即使过冲功率很高,但只有一部分功率(约1500W)有效的加载到电极上用于脉冲点火,因此很难保证脉冲点火成功。
实施例1
图4为本发明实施例1提供的脉冲调制射频电源***的原理框图。请参阅图4,本发明实施例提供的脉冲调制射频电源***包括:调制输出模块10和频率调节模块20;其中,调制输出模块10用于输出脉冲调制射频信号,脉冲调制射频信号包括脉冲开启(on)阶段和脉冲关闭(off)阶段,在脉冲开启阶段的初始时间段设置有过冲子阶段。
频率调节模块20与调制输出模块10相连,用于调节过冲子阶段的脉冲调制射频信号的射频频率,以使反射功率或反射系数满足要求。
本发明中,通过调节过冲子阶段的脉冲调制射频信号的射频频率使反射功率或反射系数满足要求,即能够将过冲功率绝大部分加载至等离子体产生装置的射频电极上,从而容易实现点火成功;而且,在此情况下对过冲功率和过冲子阶段的预设时长的要求降低,这样在较低的过冲功率和较短预设时长的情况下也能实现点火成功,故,不仅对射频***的损伤大大降低,而且降低了对射频***的设计要求和降低了成本。
优选地,频率调节模块20用于利用扫频匹配算法自动调节过冲子阶段的脉冲调制射频信号的射频频率,采用该自动调节方式省时省力、方便。
优选地,上述反射系数小于0.5,可以使大部分的功率加载至等离子体装置的射频电极。
进一步优选地,上述所述反射系数小于0.2,可以使尽可能多的功率加载至等离子体产生装置的射频电极上。
优选地,过冲子阶段的脉冲调制射频信号的功率范围在射频电源的最大输出功率的0.5~1倍,以提高等离子体点火成功的可能性。
进一步优选地,过冲子阶段的脉冲调制射频信号功率范围在所述射频电源的最大输出功率的0.8~1倍,以进一步提高等离子体点火成功的可能性。
优选地,过冲子阶段的时长≤所述脉冲开启阶段的时长的10%,脉冲调制射频信号的脉冲调制频率为10Hz~100KHz,占空比是10%~90%,以提高提高等离子体点火成功的可能性。
下面结合图5举例来验证本发明实施例提供的脉冲调制射频电源***。在该具体实施例中,本发明实施例提供的脉冲调制射频电源***与CCP等离子体产生装置的上调制电极板相连,CCP等离子体产生装置的用于作为下电极板的基座接地,并且,该射频电源***的射频中心频率为2MHz,能够在2±10%MHz范围内调节,并且最大输出功率为5000W。具体地,射频信号的射频频率为2MHz,射频信号的射频功率≤3000W,具体为2000W,脉冲开启阶段的时长为200微秒;过冲子阶段的预设时长为10微秒;过冲子阶段的的过冲功率为3000W。
在上述前提下,并且,在过冲子阶段,频率调节模块20利用扫频匹配算法自动调节过冲子阶段的脉冲调制射频信号的频率,以达到最佳的阻抗匹配对应的最小的反射功率,请参阅图5,从图5可以看出:在过冲子阶段仅有约80W的反射功率,在脉冲开启阶段的其他时间仅有5W的反射功率故,在过冲子阶段有3000W-80W=2920W的功率加载至上电极板上,能够保证容易实现点火。
在此说明的是,该实施例的脉冲调制射频电源***,不仅可以与CCP等离子体产生装置的相连,以产生等离子体;当然,在实际应用中,还可以与其他类型的等离子体产生装置相连,例如,ICP等离子体产生装置。
实施例2
图6为本发明实施例2提供的脉冲调制射频电源***的原理框图。请参阅图6,本发明实施例提供的脉冲调制射频电源***与上述实施例1相比,同样包括调制输出模块10和频率调节模块20,由于二者在上述实施例中已经详细地描述,在此不再赘述。
下面仅描述本实施例和上述实施例1的不同点。具体地,如图6所示,还包括:输入模块30;输入模块30与频率调节模块20相连,用于接收输入的过冲子阶段的脉冲调制射频信号的射频频率,并发送至频率调节模块作为预设频率;频率调节模块20用于调节过冲子阶段的脉冲调制射频信号的射频频率为预设频率。
可以理解,本实施例与上述实施例的区别是可以手动设定预设频率,预设频率为过冲子阶段的脉冲调制射频信号的反射功率和反射系数满足要求的频率。
具体地,该输入模块30优选地但不限于为键盘或语音接收器等。
在本实施例中,还优选地,所述射频信号的射频频率为13.56MHz,所述射频信号的功率≤1500W,脉冲开启阶段的时长为500微秒;过冲子阶段的预设时长为5微秒;过冲子阶段的脉冲调制射频信号的功率为2000W;过冲子阶段的脉冲调制射频信号的频率为13.42MHz。
下面结合图7举例来验证本发明实施例提供的脉冲调制射频电源***。在该具体实施例中,本发明实施例提供的脉冲调制射频电源***与ICP等离子体产生装置的感应线圈相连,CCP等离子体产生装置的用于作为下电极板的基座与连续波射频电源相连,并且,该射频电源***的射频中心频率为13.56MHz,且在13.56±5%MHz范围内调节,并且最大输出功率为3000W。具体地,射频信号的射频频率为13.56MHz,射频信号的功率≤1500W,具体为1000W,脉冲开启阶段的时长为500微秒;过冲子阶段的预设时长为5微秒;过冲子阶段的脉冲调制射频信号的功率为2000W;过冲子阶段的脉冲调制射频信号的频率(即上述预设频率)为13.42MHz。
在开始工作前,通过输入模块30输入设定的过冲子阶段的脉冲调制射频信号的频率为13.42MHz,请参阅图7,从图7可以看出:在过冲子阶段仅有约100W的反射功率,在脉冲开启阶段的其他时间仅有5W的反射功率,故,最终在过冲子阶段有2000W-100W=1900W的功率加载至感应线圈上,能够保证容易实现点火。
在此说明的是,该实施例的脉冲调制射频电源***,不仅可以与ICP等离子体产生装置的相连,以产生等离子体;当然,在实际应用中,还可以与其他类型的等离子体产生装置相连,例如,CCP等离子体产生装置。
还需要说明的是,在上述实施例1和2中的脉冲调制射频电源***的中心频率不仅分别可以为2MHz和13.56MHz,而且各自也可以为其他频率,举例说明:400KHz、27.12MHz、40MHz、60MHz、80MHz和100MHz等。
实施例3
本发明还提供一种反应腔室,包括等离子体产生装置和脉冲调制射频电源***,脉冲调制射频电源***用于向所述等离子体产生装置提供射频信号,所述脉冲调制射频电源***采用上述实施例1或2提供的脉冲调制射频电源***。
具体地,等离子体产生装置为ICP等离子体产生装置,包括:感应线圈和用于承载晶片的基座;感应线圈和基座中至少一个与脉冲调制射频电源***相连。
另外,具体地,等离子体产生装置为CCP等离子体产生装置,包括:上电极板和作为下电极板的基座;上电极板和基座中至少一个与脉冲调制射频电源***相连。
本发明实施例提供的反应腔室,由于采用上述实施例1或2提供的脉冲调制射频电源***,因此,不仅容易实现点火成功,而且降低了射频***的设计要求和降低了成本。
实施例4
本发明实施例还提供一种射频电源的脉冲调制方法,包括以下步骤:
输出脉冲调制射频信号,所述脉冲调制射频信号的每个脉冲周期包括脉冲开启阶段和脉冲关闭阶段,在所述脉冲开启阶段的初始时间段设置有过冲子阶段;
在输出脉冲调制射频信号时,调节所述过冲子阶段的所述脉冲调制射频信号的射频频率,以使所述过冲子阶段的反射功率或反射系数满足要求。
本发明中,通过调节过冲子阶段的脉冲调制射频信号的射频频率使反射功率或反射系数满足要求,即能够将过冲功率绝大部分加载至等离子体产生装置的射频电极上,从而容易实现点火成功;而且,在此情况下对过冲功率和过冲子阶段的预设时长的要求降低,这样在较低的过冲功率和较短预设时长的情况下也能实现点火成功,故,不仅对射频***的损伤大大降低,而且降低了对射频***的设计要求和降低了成本。
图8为本发明实施例提供的一种射频电源的脉冲调制方法的流程图,请参阅图8,包括以下步骤:
S1,接收输入的过冲子阶段的脉冲调制射频信号的射频频率,并作为预设频率;
S2,输出脉冲调制射频信号,同时调节过冲子阶段的所述脉冲调制射频信号的射频频率为预设频率。
图8所示的脉冲调制方法可以手动设定预设频率,预设频率为过冲子阶段的脉冲调制射频信号的反射功率和反射系数满足要求的频率。
优选地,上述反射系数小于0.5。进一步优选地,反射系数小于0.2。
优选地,过冲子阶段的所述脉冲调制射频信号的功率范围为射频电源的最大输出功率的0.5~1倍。进一步优选地,过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.8~1倍。
优选地,过冲子阶段的时长≤所述脉冲开启阶段的时长的10%,所述脉冲调制射频信号的脉冲调制频率为10Hz~100KHz,占空比是10%~90%。
需要在此说明的是,虽然在本实施例中是通过手动设定预设频率进行频率调节,但是,本发明并不局限于此,在实际应用中,调节过冲子阶段的脉冲调制射频信号的射频频率还可以包括:利用扫频匹配算法自动调节所述过冲子阶段的所述脉冲调制射频信号的射频频率。可以理解的是,以上实施方式仅仅是为了说明本发明的原理而采用的示例性实施方式,然而本发明并不局限于此。对于本领域内的普通技术人员而言,在不脱离本发明的精神和实质的情况下,可以做出各种变型和改进,这些变型和改进也视为本发明的保护范围。

Claims (10)

1.一种射频电源的脉冲调制***,其特征在于,包括:调制输出模块、输入模块和频率调节模块;
所述调制输出模块,用于输出脉冲调制射频信号,所述脉冲调制射频信号的每个脉冲周期包括脉冲开启阶段和脉冲关闭阶段,在所述脉冲开启阶段的初始时间段设置有过冲子阶段;
所述输入模块,与所述频率调节模块相连,用于接收输入的所述过冲子阶段的所述脉冲调制射频信号的射频频率,并发送至所述频率调节模块作为预设频率;所述预设频率为定频频率;
所述频率调节模块,与所述调制输出模块相连,用于调节所述过冲子阶段的所述脉冲调制射频信号的射频频率为所述预设频率,以使所述过冲子阶段的反射功率或反射系数能够实现点火成功;
所述反射系数小于0.5。
2.根据权利要求1所述的射频电源的脉冲调制***,其特征在于,所述反射系数小于0.2。
3.根据权利要求1所述的射频电源的脉冲调制***,其特征在于,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.5~1倍。
4.根据权利要求3所述的射频电源的脉冲调制***,其特征在于,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.8~1倍。
5.根据权利要求1所述的射频电源的脉冲调制***,其特征在于,所述过冲子阶段的时长≤所述脉冲开启阶段的时长的10%,所述脉冲调制射频信号的脉冲调制频率为10Hz~100KHz,占空比是10%~90%。
6.一种射频电源的脉冲调制方法,其特征在于,包括以下步骤:
输出脉冲调制射频信号,所述脉冲调制射频信号的每个脉冲周期包括脉冲开启阶段和脉冲关闭阶段,在所述脉冲开启阶段的初始时间段设置有过冲子阶段;
接收输入的所述过冲子阶段的所述脉冲调制射频信号的射频频率,并发送至频率调节模块作为预设频率;所述预设频率为定频频率;
在输出脉冲调制射频信号时,调节所述过冲子阶段的所述脉冲调制射频信号的射频频率为所述预设频率,以使所述过冲子阶段的反射功率或反射系数能够点火成功;
所述反射系数小于0.5。
7.根据权利要求6所述的射频电源的脉冲调制方法,其特征在于,所述反射系数小于0.2。
8.根据权利要求6所述的射频电源的脉冲调制方法,其特征在于,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.5~1倍。
9.根据权利要求8所述的射频电源的脉冲调制方法,其特征在于,所述过冲子阶段的所述脉冲调制射频信号的功率范围为所述射频电源的最大输出功率的0.8~1倍。
10.根据权利要求8所述的射频电源的脉冲调制方法,其特征在于,所述过冲子阶段的时长≤所述脉冲开启阶段的时长的10%,所述脉冲调制射频信号的脉冲调制频率为10Hz~100KHz,占空比是10%~90%。
CN201810474665.4A 2018-05-17 2018-05-17 射频电源的脉冲调制***及方法 Active CN110504149B (zh)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN201810474665.4A CN110504149B (zh) 2018-05-17 2018-05-17 射频电源的脉冲调制***及方法
JP2020564614A JP7100717B2 (ja) 2018-05-17 2019-04-19 無線周波数電源のパルス変調のためのシステムおよび方法ならびにその反応室
KR1020207032154A KR102497556B1 (ko) 2018-05-17 2019-04-19 Rf 전원의 펄스 변조 시스템 및 방법과 반응 챔버
PCT/CN2019/083470 WO2019218842A1 (zh) 2018-05-17 2019-04-19 射频电源的脉冲调制***及方法、反应腔室
US17/095,278 US11749502B2 (en) 2018-05-17 2020-11-11 System and method for pulse modulation of radio frequency power supply and reaction chamber thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810474665.4A CN110504149B (zh) 2018-05-17 2018-05-17 射频电源的脉冲调制***及方法

Publications (2)

Publication Number Publication Date
CN110504149A CN110504149A (zh) 2019-11-26
CN110504149B true CN110504149B (zh) 2022-04-22

Family

ID=68539444

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810474665.4A Active CN110504149B (zh) 2018-05-17 2018-05-17 射频电源的脉冲调制***及方法

Country Status (5)

Country Link
US (1) US11749502B2 (zh)
JP (1) JP7100717B2 (zh)
KR (1) KR102497556B1 (zh)
CN (1) CN110504149B (zh)
WO (1) WO2019218842A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制***及方法
CN113241296B (zh) * 2021-04-29 2024-06-04 北京七星华创流量计有限公司 射频电源及其阻抗匹配方法、半导体工艺设备
CN113394091A (zh) * 2021-05-10 2021-09-14 上海华力集成电路制造有限公司 干法刻蚀射频放电增强方法和干法刻蚀设备
CN116087598B (zh) * 2023-04-07 2023-07-21 深圳市广能达半导体科技有限公司 射频匹配电压检测方法、检测装置、电子设备及存储介质

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
WO2002019387A2 (en) * 2000-08-25 2002-03-07 Board Of Regents Transmission line based inductively coupled plasma source with stable impedance
CN102647845A (zh) * 2011-02-22 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体点火的装置、方法和半导体设备
CN103928283A (zh) * 2013-01-10 2014-07-16 中微半导体设备(上海)有限公司 一种用于真空处理腔室的射频脉冲功率匹配的方法及其装置
CN105247967A (zh) * 2013-06-17 2016-01-13 应用材料公司 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法
TW201613422A (en) * 2014-06-30 2016-04-01 Advanced Energy Ind Inc Frequency tuning for pulsed radio frequency plasma processing
DE202017103327U1 (de) * 2016-06-01 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung zum Zünden einer Plasmalast
CN107924804A (zh) * 2015-08-18 2018-04-17 Mks仪器有限公司 射频(rf)阻抗调谐操作的监控

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5451956A (en) * 1993-08-20 1995-09-19 Trw Inc. Instantaneous parameter measuring receiver
CA2205817C (en) * 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5838210A (en) * 1997-12-01 1998-11-17 Motorola, Inc. Method and apparatus for generating a modulated signal
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
TWI282658B (en) * 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
JP2004128159A (ja) * 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd 高周波プラズマ発生装置および高周波プラズマ発生方法
JP2004160338A (ja) 2002-11-12 2004-06-10 Pearl Kogyo Kk 半導体プロセス用排ガス処理装置
US7379309B2 (en) * 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
JP4879548B2 (ja) * 2005-09-30 2012-02-22 株式会社ダイヘン 高周波電源装置
US9011633B2 (en) * 2005-11-17 2015-04-21 Mks Instruments, Inc. Broadband techniques to reduce the effects of impedance mismatch in plasma chambers
US8032097B2 (en) * 2006-02-03 2011-10-04 Quantance, Inc. Amplitude error de-glitching circuit and method of operating
JP5606312B2 (ja) * 2007-07-23 2014-10-15 トゥルンプフ ヒュッティンガー ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト プラズマ給電装置
JP5616194B2 (ja) * 2010-01-14 2014-10-29 株式会社クボタ 排気処理装置付きエンジン
TWI398102B (zh) * 2010-04-16 2013-06-01 Univ Nat Taiwan 多相位脈衝調變極座標發射器以及產生脈衝式包絡且於包絡內載有具相位資訊之射頻訊號之方法
TWI462543B (zh) * 2010-07-01 2014-11-21 Univ Nat Taiwan 多準位脈衝調變極座標發射器以及產生多準位包絡且於包絡內載有相位資訊之射頻訊號之方法
TW201220717A (en) * 2010-11-10 2012-05-16 Univ Nat Taiwan Method of generating modulated radio-frequency signal with high dynamic range
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8576013B2 (en) * 2011-12-29 2013-11-05 Mks Instruments, Inc. Power distortion-based servo control systems for frequency tuning RF power sources
US8963377B2 (en) * 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP5534365B2 (ja) * 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2014036000A1 (en) * 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
CN103903944B (zh) * 2012-12-24 2016-06-15 中微半导体设备(上海)有限公司 在多频等离子体处理腔室中实现阻抗匹配的方法和装置
US20140263181A1 (en) * 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9655221B2 (en) * 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US8966074B1 (en) * 2013-09-13 2015-02-24 Network Kinetix, LLC System and method for real-time analysis of network traffic
WO2015073921A1 (en) * 2013-11-14 2015-05-21 Eagle Harbor Technologies, Inc. This disclosure relates generally to a high voltage nanosecond pulser.
US9706630B2 (en) * 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10020800B2 (en) * 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
JP6353223B2 (ja) * 2013-12-20 2018-07-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10483089B2 (en) * 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10242844B2 (en) * 2014-09-30 2019-03-26 Lam Research Corporation Rotating RF electric field antenna for uniform plasma generation
US9306533B1 (en) * 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) * 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US11542927B2 (en) * 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP6665183B2 (ja) * 2015-07-21 2020-03-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
GB201514998D0 (en) * 2015-08-24 2015-10-07 Element Six Technologies Ltd Microwave generators and manufacure of synthetic diamond material
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9577516B1 (en) * 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US10320373B2 (en) * 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
WO2018183874A1 (en) * 2017-03-31 2018-10-04 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US20180308663A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with phase shift applied across electrode array
US10666198B2 (en) * 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
KR102466195B1 (ko) * 2017-08-25 2022-11-11 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
KR20200100641A (ko) * 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
JP2019186098A (ja) 2018-04-12 2019-10-24 東京エレクトロン株式会社 プラズマを生成する方法
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制***及方法
US20200185196A1 (en) * 2018-12-07 2020-06-11 Advanced Micro-Fabrication Equipment Inc. China Method and device for matching impedance of pulse radio frequency plasma
US11114279B2 (en) * 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11462388B2 (en) * 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
WO2002019387A2 (en) * 2000-08-25 2002-03-07 Board Of Regents Transmission line based inductively coupled plasma source with stable impedance
CN102647845A (zh) * 2011-02-22 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体点火的装置、方法和半导体设备
CN103928283A (zh) * 2013-01-10 2014-07-16 中微半导体设备(上海)有限公司 一种用于真空处理腔室的射频脉冲功率匹配的方法及其装置
CN103928283B (zh) * 2013-01-10 2016-06-15 中微半导体设备(上海)有限公司 一种真空处理腔室的射频脉冲功率匹配的方法及其装置
CN105247967A (zh) * 2013-06-17 2016-01-13 应用材料公司 用于等离子体腔室中的快速且可重复的等离子体点燃和调谐的方法
TW201613422A (en) * 2014-06-30 2016-04-01 Advanced Energy Ind Inc Frequency tuning for pulsed radio frequency plasma processing
CN107924804A (zh) * 2015-08-18 2018-04-17 Mks仪器有限公司 射频(rf)阻抗调谐操作的监控
DE202017103327U1 (de) * 2016-06-01 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung zum Zünden einer Plasmalast

Also Published As

Publication number Publication date
CN110504149A (zh) 2019-11-26
WO2019218842A1 (zh) 2019-11-21
KR102497556B1 (ko) 2023-02-09
JP2021523544A (ja) 2021-09-02
JP7100717B2 (ja) 2022-07-13
US20210066041A1 (en) 2021-03-04
KR20200140877A (ko) 2020-12-16
US11749502B2 (en) 2023-09-05

Similar Documents

Publication Publication Date Title
CN110504149B (zh) 射频电源的脉冲调制***及方法
US10522331B2 (en) Plasma processing apparatus
EP1323180B1 (en) System, apparatus, and method for processing wafer using single frequency rf power in plasma processing chamber
KR20140105455A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TW426888B (en) Plasma processing method
KR20210065045A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TW201435964A (zh) 用於真空處理腔室的射頻脈衝功率匹配的方法及其裝置
CN110047725B (zh) 独立控制自由基密度、离子密度和离子能量的方法和***
WO2018233455A1 (zh) 偏压调制方法、偏压调制***和等离子体处理设备
US20220139672A1 (en) Plasma processing apparatus and plasma processing method
JP7433271B2 (ja) 基板処理装置および基板処理装置の制御方法
CN109994360B (zh) 一种等离子体射频调节方法及等离子处理装置
US20220392748A1 (en) Plasma processing apparatus and plasma processing method
US20240105424A1 (en) Plasma processing apparatus and plasma processing method
WO2024070580A1 (ja) プラズマ処理装置及び電源システム
WO2024070578A1 (ja) プラズマ処理装置及び電源システム
US20230377844A1 (en) Plasma processing apparatus and plasma processing method
CN109994354B (zh) 一种等离子体射频调节方法及等离子处理装置
TW202305865A (zh) 電漿處理方法及電漿處理裝置
KR100420533B1 (ko) 플라즈마 공정장치 및 이를 이용한 플라즈마 식각방법
CN117597767A (zh) 等离子体处理方法以及等离子体处理装置
KR20230118568A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant