CN110168714A - 改进工艺均匀性的衬底支撑件 - Google Patents

改进工艺均匀性的衬底支撑件 Download PDF

Info

Publication number
CN110168714A
CN110168714A CN201780082496.3A CN201780082496A CN110168714A CN 110168714 A CN110168714 A CN 110168714A CN 201780082496 A CN201780082496 A CN 201780082496A CN 110168714 A CN110168714 A CN 110168714A
Authority
CN
China
Prior art keywords
substrate
ceramic layer
substrate support
support
edge ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780082496.3A
Other languages
English (en)
Inventor
郝方力
付越虹
陈志刚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110168714A publication Critical patent/CN110168714A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

一种用于在衬底处理***中支撑衬底的衬底支撑件包括基板和布置在所述基板上方的陶瓷层。所述陶瓷层的外周边被边缘环包围。所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的外边缘在所述边缘环下方延伸。

Description

改进工艺均匀性的衬底支撑件
相关申请的交叉引用
本申请要求2017年1月5日提交的美国专利申请No.15/399,244的优先权。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理***中的衬底支撑件。
背景技术
这里提供的背景描述是为了一般地呈现本公开的上下文的目的。目前所命名的发明人的工作,在该背景技术部分以及本说明书的在申请时不会以其他方式被认为是现有技术的方面中描述的程度上,既不明确地也不隐含地被承认为针对本公开的现有技术。
衬底处理***可用于处理诸如半导体晶片之类的衬底。可以在衬底上进行的示例性工艺包括但不限于化学气相沉积(CVD)、原子层沉积(ALD)、导体蚀刻、电介质蚀刻和/或其它蚀刻、沉积或清洁工艺。衬底可以布置在衬底处理***的处理室中的衬底支撑件(例如基座,静电卡盘(ESC)等)上。在蚀刻期间,包括一种或多种前体的气体混合物可以被引入到处理室中,并且可以使用等离子体来引发化学反应。
衬底支撑件可以包括配置成支撑衬底的陶瓷层。例如,在处理期间,衬底可以被夹持到陶瓷层。衬底支撑件可包括边缘环,该边缘环布置成围绕陶瓷层的外周边和衬底,以获得最佳的边缘性能和产量。
发明内容
一种用于在衬底处理***中支撑衬底的衬底支撑件包括:基板和布置在所述基板上方的陶瓷层。所述陶瓷层的外周边被边缘环包围。所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的外边缘在所述边缘环下方延伸。在其他特征中,所述陶瓷层包括布置在所述衬底的上表面中的环形凹槽和布置在所述环形凹槽中的***件。
一种衬底处理方法包括:提供基板;在所述基板上方布置陶瓷层;以及在所述陶瓷层的外周边的周围布置边缘环。所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的外边缘在所述边缘环下方延伸。所述陶瓷层包括布置在所述衬底的上表面中的环形凹槽和布置在所述环形凹槽中的***件。所述方法还包括在所述陶瓷层上布置衬底;以及在所述衬底上执行至少一个处理步骤。
本公开的其它适用范围将根据详细说明书、权利要求书和附图变得显而易见。详细描述和具体实施例仅仅是为了说明的目的,并不意图限制本公开的范围。
附图说明
根据详细描述和附图将更全面地理解本公开,其中:
图1是示例性衬底支撑件;
图2是根据本公开的示例处理室的功能框图;
图3是根据本发明的原理的包括陶瓷层的示例性衬底支撑件;
图4是根据本发明的原理的包括陶瓷层的示例性衬底支撑件的平面图;
图5是根据本发明的原理的示例性陶瓷层的平面图;
图6是根据本发明的原理的包括陶瓷层的另一示例性衬底支撑件;以及
图7示出了根据本发明的原理的示例性衬底处理方法的步骤。
在附图中,附图标记可以重复使用以标识相似和/或相同的元件。
具体实施方式
现在参考图1,示出了示例性衬底支撑件10,例如静电卡盘(ESC)。衬底支撑件10包括支撑陶瓷层18的导电基板14。热阻层22(例如,结合层)可以布置在陶瓷层18和基板14之间。衬底26布置在衬底支撑件10的陶瓷层上。衬底支撑件10可包括围绕衬底26的外周边的边缘组件30。在一些示例中,边缘组件30可包括内边缘环34和外绝缘环38。可以在衬底26的外周边和边缘环34之间限定间隙42。衬底支撑件10可以包括围绕基板14并支撑边缘环34的一个或多个附加环形结构48、附加环形结构52、附加环形结构56、附加环形结构60。可以提供结构48、结构52、结构56和结构60以实现与工艺均匀性相关的特性,例如期望的导热率、期望的电耦合或RF耦合等。
与衬底26和/或衬底支撑件10的部件相关的制造公差可能导致工艺不均匀。例如,边缘环34的内半径可以选择为足够大以适应在衬底支撑件10上处理的衬底的外半径的变化。因此,不同的衬底可以在衬底26的外半径与边缘环34的内半径之间具有不同的间隙42。在一些示例中(如图所示),衬底26的外半径可以与边缘环34的内半径重叠,并且可以大于陶瓷层18的外半径,以用于所需的处理性能。
间隙42的宽度的变化可能导致与多个衬底的处理相关联的不均匀性。例如,衬底26的外边缘与边缘环34和/或陶瓷层18之间的位置关系(例如,距离、相对高度等)会由于温度不均匀性、电场不均匀性等而使得衬底26的外边缘的处理不同于衬底26的内部。结果,衬底26会在其边缘具有不均匀的蚀刻深度、不均匀的沉积材料量等。此外,间隙42可增加电弧放电的可能性并增加陶瓷层26的暴露于工艺气体和等离子体的部分的腐蚀。腐蚀和电弧放电等潜在影响可能会限制施加在衬底支撑件上的功率,可能会导致维护停机时间增加等。
衬底处理***可以被配置为补偿与特定衬底支撑件和/或处理室相关联的已知工艺不均匀性。然而,当衬底26的外半径以及因此衬底26和边缘环34之间的关系变化时,补偿这些不均匀性可能是困难的。根据本公开的原理的***和方法实现了被配置为减少与衬底处理相关联的不均匀性的衬底支撑件。例如,衬底支撑件的陶瓷层相对于边缘环和在衬底支撑件上处理的衬底具有增大的直径,并且可包括可替换(例如,牺牲或消耗性)***件。
现在参考图2,示出了示例性衬底处理***100。仅作为示例,衬底处理***100可以用于使用RF等离子体进行蚀刻和/或其它合适的衬底处理。衬底处理***100包括处理室102,其包围衬底***100的其它部件并且包含RF等离子体。衬底处理室102包括上电极104和衬底支撑件106(例如静电卡盘(ESC))。在操作期间,衬底108布置在衬底支撑件106上。尽管示出了特定衬底处理***100和室102作为示例,但本公开的原理可以应用于其他类型的衬底处理***和室,例如原位产生等离子体的衬底处理***、能够实现远程等离子体产生和传输(例如使用等离子体管、微波管)的衬底处理***等。
仅作为示例,上电极104可以包括引入和分配工艺气体的诸如喷头109之类的气体分配装置。喷头109可以包括杆部,该杆部包括连接到处理室的顶表面的一端。基部大致为圆柱形,并且在与处理室的顶表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部的面向衬底的表面或面板包括多个孔,工艺气体或净化气体通过这些孔流过。替代地,上电极104可以包括导电板,并且可以以另一种方式引入工艺气体。
衬底支撑件106包括用作下电极的导电基板110。基板110支撑陶瓷层112。在一些示例中,陶瓷层112可包括加热层,例如陶瓷多区加热板。热阻层114(例如,结合层)可以布置在陶瓷层112和基板110之间。基板110可以包括一个或多个冷却剂通道116,其用于使冷却剂流过基板110。衬底支撑件106可以包括被布置成围绕衬底108的外周边的边缘环118。
RF发生***120产生RF电压并将RF电压输出到上电极104和/或下电极(例如,衬底支撑件106的基板110)中的一者。上电极104和基板110中的另一者可以是直流接地、RF接地或浮动。仅举例而言,RF发生***120可以包括RF电压发生器122,其产生由匹配和分配网络124馈送到上电极104或基板110的RF电压。在其他示例中,可以感应地或远程地产生等离子体。尽管如示例所示,RF发生***120对应于电容耦合等离子体(CCP)***,但是本公开的原理也可以在其他合适的***中实现,其他合适的***仅举例而言,例如,变压器耦合等离子体(TCP)***、CCP阴极***、远程微波等离子体发生和传送***等。
气体输送***130包括一个或多个气体源132-1、132-2、...和132-N(统称为气体源132),其中N是大于零的整数。气体源提供一种或多种前体及其混合物。气体源也可以供应净化气体。也可以使用汽化前体。气体源132通过阀134-1、134-2、...和134-N(统称为阀134)和质量流量控制器136-1、136-2、...和136-N(统称为质量流量控制器)连接到歧管140。歧管140的输出被馈送到处理室102。仅举例而言,歧管140的输出被馈送到喷头109。
温度控制器142可以连接到布置在陶瓷层112中的多个加热元件上,例如连接到热控制元件(TCE)144上。例如,加热元件144可以包括但不限于:对应于多区域加热板中的各个区域的大型加热元件和/或跨越多区域加热板的多个区域布置的微型加热元件阵列。温度控制器142可以用于控制多个加热元件144以控制衬底支撑件106和衬底108的温度。根据本公开的原理的每个加热元件144可以包括具有正TCR的第一材料和具有负TCR的第二材料,如下面更详细地描述的。
温度控制器142可与冷却剂组件146连通以控制通过通道116的冷却剂流。例如,冷却剂组件146可包括冷却剂泵和贮存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却衬底支撑件106。
阀150和泵152可用于从处理室102排出反应物。***控制器160可用于控制衬底处理***100的部件。机械手170可用于将衬底输送到衬底支撑件106上,并且可以从衬底支撑件106移除衬底。例如,机械手170可以在衬底支撑件106和装载锁172之间传送衬底。尽管示出为单独的控制器,但温度控制器142可以在***控制器160内实现。在一些示例中,可以在陶瓷层112和基板110之间的结合层114的周边周围提供保护性密封176。
根据本公开的原理的衬底支撑件106的陶瓷层112和边缘环118相对于衬底108具有增大的外直径,如下面更详细地描述的。此外,陶瓷层112的外半径可以大于边缘环118的内半径,使得陶瓷层112在边缘环118下方延伸。陶瓷层112可以包括可替换的***件(图2中未示出),如下面图3、4、5和6中所述。
现在参考图3和4,示出了示例性衬底支撑件300。衬底支撑件300在图3中以横截面视图示出并且在图4中以平面图示出。衬底支撑件300包括支撑陶瓷层308的导电基板304。接合层312可以布置在陶瓷层308和基板304之间。衬底316布置在陶瓷层308上。衬底支撑件300包括布置在衬底316的外周边的边缘组件320。在一些示例中,边缘组件320可包括内边缘环324和外绝缘环328。为简单起见,外绝缘环328未在图4中示出。
陶瓷层308的直径和外半径(以及相应地,外边缘332)以及边缘环320的内半径相对于在衬底支撑件300上处理的衬底而增大。可以增加衬底316和边缘环324之间的间隙336的宽度。例如,陶瓷层308的外半径可以比衬底支撑件300上处理的最大可能衬底的外半径大预定的最小偏移量。仅作为示例,对于300mm衬底(即,具有150mm半径),衬底的制造偏差可以高达1mm,导致外半径为150.5mm。因此,陶瓷层308的外半径可以是150.5mm加上偏移量。在一些示例中,偏移量至少为1mm。在其他示例中,偏移量至少为2mm。这样,对于用于处理300mm衬底的衬底支撑件,陶瓷层308的外半径可以是151.5mm,以提供1mm的偏移量。类似地,对于用于处理450mm衬底的衬底支撑件,陶瓷层308的外半径可以是226.1mm,以提供1mm的偏移量。仅举例而言,在用于处理具有直径d(例如,d mm)和制造偏差v mm的衬底的配置中,陶瓷层可具有大于或等于(d+v)/2和预定的偏移量的总和的外半径。
尽管例如仅提供了1mm和2mm的偏移量,但是偏移可以具有足以使陶瓷层308在边缘环324下方延伸的任何量。例如,陶瓷层308可以具有比边缘环324的内半径大最小量的外半径。例如,陶瓷层308的外半径可以比边缘环324的内半径大1mm、2mm、3mm等。因此,陶瓷层308在边缘环324下方延伸,并且陶瓷层308的外边缘332布置在边缘环324下方(即,边缘环324与陶瓷层308的外边缘332重叠)。
因为陶瓷层308在边缘环324下方延伸并且比衬底316大,所以陶瓷层308的一部分未被衬底316或边缘环324覆盖。因此,陶瓷层308可以包括可替换***件340。例如,***件340是环形的并且布置在陶瓷层308的在边缘环324下方的上表面中的环形缝隙或凹槽344中。仅举例而言,***件340布置在陶瓷层308的在边缘环324下方的一部分和陶瓷层308的暴露于工艺气体和等离子体的一部分之间的界面(即,陶瓷层308的未被衬底316覆盖,也未被边缘环324覆盖的部分)处。陶瓷层308的对应于***物340的该部分可能经历增加的对工艺气体(例如,等离子体)的暴露,并因此增加磨损和腐蚀。因此,在没有可替换***件340的情况下,由间隙336引起的对等离子体的暴露的增加将导致对陶瓷层308的腐蚀增加,并且陶瓷层308将需要频繁更换。
相反,可以以更低成本、更少***停机时间以及更有效地拆卸和重新组装衬底支撑件300的部件来替换可更换***件340。例如,可以通过移除边缘环组件320的内边缘环324并且接着移除***件340来替换***件340。仅举例而言,***件340可以包括与陶瓷层308的材料相同的材料(例如,任何合适的陶瓷)。因此,暴露于工艺气体可能导致***件340的腐蚀。这样,***物340可以被表征为牺牲品或消耗品。
在一些示例中,衬底支撑件300可以消除和/或简化诸如如图1所示的环形结构48、环形结构52、环形结构56和环形结构60之类的结构。例如,由于相对于衬底316的外半径增大陶瓷层308改善了衬底316边缘处的工艺均匀性,因此可能不需要为改善工艺均匀性而提供附加的结构。仅举例而言,在图1中位于边缘环34的正下方的环形结构52、56和60在图3的示例中去除了。
现在参考图5,示出了具有可替换***件340的陶瓷层308的示例。在一些示例中,***件340可包括一个或多个螺孔(例如,带螺纹的螺孔)348,以用于将***件340附接到陶瓷层308。陶瓷层308可包括一个或多个切口352,以便于从陶瓷层308的凹槽344中移除***件340。例如,切口352可以构造成接收被配置成用于从凹槽344撬动***件340的工具。
现在参考图6,示出了衬底支撑件320的另一示例。在该示例中,***件340比图3所示的示例宽。因此,***件340从边缘环324的下方延伸到间隙336中,并且延伸到衬底316的外边缘下方。换句话说,***件340占据陶瓷层308的暴露于在间隙336中的工艺气体的整个部分。
现在参考图7,示例性衬底处理方法700开始于704。在708处,提供包括陶瓷层的衬底支撑件。衬底支撑件被配置用于处理具有标准尺寸(例如200mm、300mm、450mm等)的衬底(即,晶片)。如上所述,陶瓷层的外半径大于将在衬底支撑件上进行处理的衬底的外半径。例如,如果衬底支撑件被配置用于处理具有直径d和制造偏差v的标准衬底,则陶瓷层的外半径可以大于或等于(d+v)/2和预定的偏移量的总和。在712处,将衬底布置在陶瓷层上。在716处,在衬底上执行一个或多个衬底处理步骤。方法700在720处结束。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,尽管本公开包括特定示例,但本公开的真实范围不应当如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其它实施方式中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述也如此。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的交换保持在本公开的范围内。
元件之间(例如,模块,电路元件,半导体层等之间)的空间和功能关系使用包括“连接”、“接合”、“联接”、“相邻”、“邻近”、“在...上”、“上方”、“下方”和“设置”之类的各种术语进行描述。当在上述公开中描述第一和第二元件之间的关系时,除非明确地描述为“直接”,否则这种关系可以是其中没有其他中间元件存在于所述第一和第二元件之间的直接的关系,但也可以是其中一个或多个中间元件(或者在空间上或功能上)存在于所述第一和第二元件之间的间接的关系。如本文所使用的,短语A、B和C中的至少一个应该被解释为指使用非排他性的逻辑或(OR)的逻辑(A或B或C),且不应该被解释为指“A中的至少一个,B中的至少一个,和C中的至少一个”。
在一些实施方案中,控制器是***的一部分,该***的一部分可以是上述实施方式的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个加工工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动***等)。这些***可与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些***的操作。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种组件或子部分。根据处理要求和/或***的类型,控制器可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制工艺气体的输送、温度的设置(例如,加热和/或冷却)、压力的设置、真空的设置、功率的设置、射频(RF)产生器的设置、RF匹配电路的设置、频率的设置,流率的设置、流体输送的设置、位置和操作的设置、晶片的进出工具和其他输送工具和/或连接到特定***的或与特定***接口的装载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。这些集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种单个的设置(或程序文件)形式传输到控制器或***的指令,所述设置(或程序文件)定义在半导体晶片上或针对半导体晶片进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器可以是与***集成、耦接或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程的参数和/或设置然后从远程计算机传送到***。在一些实例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
示例的***可以包括但不限于,等离子体蚀刻室或模块(使用感应或电容耦合等离子体)、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
权利要求书(按照条约第19条的修改)
1.一种用于在衬底处理***中支撑衬底的衬底支撑件,所述衬底支撑件包括:
基板;和
布置在所述基板上方的陶瓷层,所述陶瓷层的外周边被边缘环包围;
其中所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的最上面的表面的外边缘在所述边缘环下方延伸。
2.根据权利要求1所述的衬底支撑件,其中所述陶瓷层包括(i)布置在所述陶瓷层的所述最上面的表面中的环形凹槽和(ii)布置在所述环形凹槽中的***件。
3.根据权利要求2所述的衬底支撑件,其中所述***件包含陶瓷。
4.根据权利要求2所述的衬底支撑件,其中,所述***件至少部分地定位在所述边缘环下方。
5.根据权利要求2所述的衬底支撑件,其中,所述***件从所述边缘环下方延伸到所述陶瓷层的被布置成支撑衬底的部分。
6.根据权利要求1所述的衬底支撑件,其中所述衬底支撑件被配置为支撑300mm的衬底,并且其中所述陶瓷层具有至少151.5mm的外半径。
7.根据权利要求1所述的衬底支撑件,其中所述衬底支撑件被配置为支撑450mm的衬底,并且其中所述陶瓷层具有至少226.5mm的外半径。
8.根据权利要求1所述的衬底支撑件,其中所述衬底支撑件被配置为支撑具有直径为dmm的衬底,其中与所述衬底相关联的制造偏差为v mm,并且其中所述陶瓷层具有大于或等于(d+v)/2和预定的偏移量的总和的外半径。
9.一种用于在衬底处理***中支撑衬底的衬底支撑件,所述衬底支撑件包括:
基板;
布置在所述基板上方的陶瓷层;和
布置在所述陶瓷层的外周边的边缘环;
其中所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的最上面的表面的外边缘在所述边缘环下方延伸,并且
其中所述陶瓷层包括(i)布置在所述陶瓷层的所述最上面的表面中的环形凹槽和(ii)布置在所述环形凹槽中的***件。
10.根据权利要求9所述的衬底支撑件,其中所述***件包含陶瓷。
11.根据权利要求9所述的衬底支撑件,其中,所述***件至少部分地定位在所述边缘环下方。
12.根据权利要求9所述的衬底支撑件,其中,所述***件从所述边缘环下方延伸到所述陶瓷层的被布置成支撑衬底的部分。
13.根据权利要求9所述的衬底支撑件,其中所述衬底支撑件被配置为支撑300mm的衬底,并且其中所述陶瓷层具有至少151.5mm的外半径。
14.根据权利要求9所述的衬底支撑件,其中所述衬底支撑件被配置为支撑450mm的衬底,并且其中所述陶瓷层具有至少226.5mm的外半径。
15.根据权利要求9所述的衬底支撑件,其中所述衬底支撑件被配置为支撑具有直径为d mm的衬底,其中与所述衬底相关联的制造偏差为v mm,并且其中所述陶瓷层具有大于或等于(d+v)/2和预定的偏移量的总和的外半径。
16.一种衬底处理方法,其包括:
提供基板;
在所述基板上方布置陶瓷层;
在所述陶瓷层的外周边的周围布置边缘环,
其中所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的最上面的表面的外边缘在所述边缘环下方延伸,并且
其中所述陶瓷层包括(i)布置在所述陶瓷层的所述最上面的表面中的环形凹槽和(ii)布置在所述环形凹槽中的***件;
在所述陶瓷层上布置衬底;以及
在所述衬底上执行至少一个处理步骤。

Claims (16)

1.一种用于在衬底处理***中支撑衬底的衬底支撑件,所述衬底支撑件包括:
基板;和
布置在所述基板上方的陶瓷层,所述陶瓷层的外周边被边缘环包围;
其中所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的外边缘在所述边缘环下方延伸。
2.根据权利要求1所述的衬底支撑件,其中所述陶瓷层包括(i)布置在所述衬底的上表面中的环形凹槽和(ii)布置在所述环形凹槽中的***件。
3.根据权利要求2所述的衬底支撑件,其中所述***件包含陶瓷。
4.根据权利要求2所述的衬底支撑件,其中,所述***件至少部分地定位在所述边缘环下方。
5.根据权利要求2所述的衬底支撑件,其中,所述***件从所述边缘环下方延伸到所述陶瓷层的被布置成支撑衬底的部分。
6.根据权利要求1所述的衬底支撑件,其中所述衬底支撑件被配置为支撑300mm的衬底,并且其中所述陶瓷层具有至少151.5mm的外半径。
7.根据权利要求1所述的衬底支撑件,其中所述衬底支撑件被配置为支撑450mm的衬底,并且其中所述陶瓷层具有至少226.5mm的外半径。
8.根据权利要求1所述的衬底支撑件,其中所述衬底支撑件被配置为支撑具有直径为dmm的衬底,其中与所述衬底相关联的制造偏差为v mm,并且其中所述陶瓷层具有大于或等于(d+v)/2和预定的偏移量的总和的外半径。
9.一种用于在衬底处理***中支撑衬底的衬底支撑件,所述衬底支撑件包括:
基板;
布置在所述基板上方的陶瓷层;和
布置在所述陶瓷层的外周边的边缘环;
其中所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的外边缘在所述边缘环下方延伸,并且
其中所述陶瓷层包括(i)布置在所述衬底的上表面中的环形凹槽和(ii)布置在所述环形凹槽中的***件。
10.根据权利要求9所述的衬底支撑件,其中所述***件包含陶瓷。
11.根据权利要求9所述的衬底支撑件,其中,所述***件至少部分地定位在所述边缘环下方。
12.根据权利要求9所述的衬底支撑件,其中,所述***件从所述边缘环下方延伸到所述陶瓷层的被布置成支撑衬底的部分。
13.根据权利要求9所述的衬底支撑件,其中所述衬底支撑件被配置为支撑300mm的衬底,并且其中所述陶瓷层具有至少151.5mm的外半径。
14.根据权利要求9所述的衬底支撑件,其中所述衬底支撑件被配置为支撑450mm的衬底,并且其中所述陶瓷层具有至少226.5mm的外半径。
15.根据权利要求9所述的衬底支撑件,其中所述衬底支撑件被配置为支撑具有直径为d mm的衬底,其中与所述衬底相关联的制造偏差为v mm,并且其中所述陶瓷层具有大于或等于(d+v)/2和预定的偏移量的总和的外半径。
16.一种衬底处理方法,其包括:
提供基板;
在所述基板上方布置陶瓷层;
在所述陶瓷层的外周边的周围布置边缘环,
其中所述陶瓷层的外半径大于所述边缘环的内半径,使得所述陶瓷层的外边缘在所述边缘环下方延伸,并且
其中所述陶瓷层包括(i)布置在所述衬底的上表面中的环形凹槽和(ii)布置在所述环形凹槽中的***件;
在所述陶瓷层上布置衬底;以及
在所述衬底上执行至少一个处理步骤。
CN201780082496.3A 2017-01-05 2017-11-07 改进工艺均匀性的衬底支撑件 Pending CN110168714A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/399,244 2017-01-05
US15/399,244 US10910195B2 (en) 2017-01-05 2017-01-05 Substrate support with improved process uniformity
PCT/US2017/060366 WO2018128707A1 (en) 2017-01-05 2017-11-07 Substrate support with improved process uniformity

Publications (1)

Publication Number Publication Date
CN110168714A true CN110168714A (zh) 2019-08-23

Family

ID=62708437

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780082496.3A Pending CN110168714A (zh) 2017-01-05 2017-11-07 改进工艺均匀性的衬底支撑件

Country Status (6)

Country Link
US (2) US10910195B2 (zh)
JP (2) JP7266524B2 (zh)
KR (2) KR102458699B1 (zh)
CN (1) CN110168714A (zh)
TW (1) TWI783960B (zh)
WO (1) WO2018128707A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
CN110546733B (zh) * 2017-03-31 2022-10-11 玛特森技术公司 在处理腔室中防止工件上的材料沉积
CN110462781B (zh) * 2017-03-31 2022-03-11 玛特森技术公司 用于等离子体处理设备的基座组件
JP7101055B2 (ja) 2018-06-12 2022-07-14 東京エレクトロン株式会社 静電チャック、フォーカスリング、支持台、プラズマ処理装置、及びプラズマ処理方法
JP7333712B2 (ja) * 2019-06-05 2023-08-25 東京エレクトロン株式会社 静電チャック、支持台及びプラズマ処理装置
KR102325223B1 (ko) * 2019-07-22 2021-11-10 세메스 주식회사 기판 처리 장치
CN114207772B (zh) * 2019-08-05 2024-05-24 朗姆研究公司 用于衬底处理***的边缘环***
JP2021068782A (ja) * 2019-10-21 2021-04-30 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置、及びシール部材
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
GB202012560D0 (en) * 2020-08-12 2020-09-23 Spts Technologies Ltd Apparatus and method
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020050246A1 (en) * 2000-06-09 2002-05-02 Applied Materials, Inc. Full area temperature controlled electrostatic chuck and method of fabricating same
US20020129475A1 (en) * 2001-03-19 2002-09-19 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
CN101110381A (zh) * 2006-07-20 2008-01-23 应用材料股份有限公司 利用快速温度梯度控制处理衬底
US20090034148A1 (en) * 2007-07-31 2009-02-05 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
CN101790786A (zh) * 2007-08-28 2010-07-28 东京毅力科创株式会社 载置台结构及处理装置
CN101933121A (zh) * 2008-02-04 2010-12-29 株式会社Eugene科技 基板支撑单元、基板处理装置及制造基板支撑单元的方法

Family Cites Families (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3752956A (en) 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
DE3017749A1 (de) 1980-05-09 1981-11-12 Artur Dr.H.C. 7244 Waldachtal Fischer Befestigungsvorrichtung fuer die befestigung von sanitaergegenstaenden
US5059770A (en) 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US6998065B1 (en) 1989-12-28 2006-02-14 Nippon Mitsubishi Oil Corporation Fluid compositions containing refrigerator oils and chlorine-free fluorocarbon refrigerants
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JPH0653149A (ja) 1992-07-31 1994-02-25 Tokyo Electron Ltd 半導体製造装置用シール材
EP0635870A1 (en) 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
JPH08507196A (ja) 1994-01-31 1996-07-30 アプライド マテリアルズ インコーポレイテッド 共形な絶縁体フィルムを有する静電チャック
US5583736A (en) 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
JPH08293539A (ja) 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6370007B2 (en) 1995-09-20 2002-04-09 Hitachi, Ltd. Electrostatic chuck
US5781400A (en) 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5978202A (en) 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6176932B1 (en) 1998-02-16 2001-01-23 Anelva Corporation Thin film deposition apparatus
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4053148B2 (ja) 1998-07-28 2008-02-27 株式会社エフオーアイ プラズマ処理装置
US6639783B1 (en) 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
JP2000130414A (ja) 1998-10-23 2000-05-12 Nakao Seisakusho:Kk ジョイント金具
TW517092B (en) 1999-03-17 2003-01-11 Kobe Steel Ltd High-temperature and high-pressure treatment device
US20020036881A1 (en) 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
JP2000323558A (ja) * 1999-05-07 2000-11-24 Nikon Corp 静電吸着装置
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
EP1061639A2 (en) 1999-06-17 2000-12-20 Applied Materials, Inc. Chucking system amd method
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6839217B1 (en) 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4522527B2 (ja) 2000-03-06 2010-08-11 キヤノンアネルバ株式会社 半導体製造装置における基板搭載方法
JP5165817B2 (ja) 2000-03-31 2013-03-21 ラム リサーチ コーポレーション 静電チャック及びその製造方法
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6922324B1 (en) 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4868649B2 (ja) 2001-03-29 2012-02-01 ラム リサーチ コーポレーション プラズマ処理装置
US6693790B2 (en) 2001-04-12 2004-02-17 Komatsu, Ltd. Static electricity chuck apparatus and semiconductor producing apparatus provided with the static electricity chuck apparatus
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP4549022B2 (ja) 2001-04-30 2010-09-22 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
JP2003013340A (ja) 2001-06-28 2003-01-15 Tsudakoma Corp 織機の電動送り出し制御方法
JP3810300B2 (ja) 2001-10-30 2006-08-16 京セラ株式会社 静電チャック
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JPWO2003047312A1 (ja) 2001-11-30 2005-04-14 イビデン株式会社 セラミックヒータ
US6754062B2 (en) 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6682603B2 (en) 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
TWI269815B (en) * 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20040045813A1 (en) 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7942425B2 (en) 2002-10-25 2011-05-17 Nok Corporation Plasma resistant seal
KR100657054B1 (ko) 2003-01-07 2006-12-13 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 포커스 링
JP2004311837A (ja) * 2003-04-09 2004-11-04 Ngk Insulators Ltd 半導体製造装置用部材とその製造方法
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
US20050079729A1 (en) 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
KR100505035B1 (ko) 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
US20070098978A1 (en) 2003-11-21 2007-05-03 Hiroyuki Tanaka Surface-coated sealing material
US8680443B2 (en) 2004-01-06 2014-03-25 Watlow Electric Manufacturing Company Combined material layering technologies for electric heaters
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR101098798B1 (ko) 2004-05-26 2011-12-26 쿄세라 코포레이션 히터와 웨이퍼 가열장치 및 히터의 제조방법
CN100470756C (zh) 2004-06-28 2009-03-18 京瓷株式会社 静电卡盘
JP2006086230A (ja) * 2004-09-14 2006-03-30 Hitachi Kokusai Electric Inc 半導体製造装置
TWI281833B (en) 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US20060273277A1 (en) 2005-06-02 2006-12-07 Heller Mark J Plasma resistant seal assembly with replaceable barrier shield
JP4964238B2 (ja) 2005-06-29 2012-06-27 ワトロウ エレクトリック マニュファクチュアリング カンパニー スマート積層ヒーター面
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7525787B2 (en) 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
US7651571B2 (en) 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
JP4942471B2 (ja) 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
JP2007311613A (ja) 2006-05-19 2007-11-29 Hitachi High-Technologies Corp 試料台及びそれを備えたプラズマ処理装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080041312A1 (en) 2006-08-10 2008-02-21 Shoichiro Matsuyama Stage for plasma processing apparatus, and plasma processing apparatus
JP4943086B2 (ja) 2006-08-10 2012-05-30 東京エレクトロン株式会社 静電チャック装置及びプラズマ処理装置
JP5233093B2 (ja) 2006-08-10 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8741098B2 (en) 2006-08-10 2014-06-03 Tokyo Electron Limited Table for use in plasma processing system and plasma processing system
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP5029089B2 (ja) 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP4905375B2 (ja) 2008-01-30 2012-03-28 住友電気工業株式会社 ウエハ保持体の支持構造
JP5284153B2 (ja) 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
JP5324251B2 (ja) 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US9543181B2 (en) 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
JP2011530833A (ja) 2008-08-12 2011-12-22 アプライド マテリアルズ インコーポレイテッド 静電チャックアセンブリ
JP2010080717A (ja) 2008-09-26 2010-04-08 Tokyo Electron Ltd プラズマ処理装置用の載置台
KR101413764B1 (ko) 2008-10-22 2014-07-02 주식회사 뉴파워 프라즈마 서셉터 어셈블리
US9218997B2 (en) 2008-11-06 2015-12-22 Applied Materials, Inc. Electrostatic chuck having reduced arcing
JP2010129845A (ja) 2008-11-28 2010-06-10 Creative Technology:Kk 静電チャック及びその製造方法
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
WO2012056807A1 (ja) 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、積層体、半導体製造装置用部材及びスパッタリングターゲット部材
WO2012056808A1 (ja) 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、半導体製造装置用部材、スパッタリングターゲット部材及びセラミックス材料の製造方法
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
CN103283013B (zh) 2010-12-27 2016-08-10 创意科技股份有限公司 工件加热装置及工件处理装置
JP5339162B2 (ja) 2011-03-30 2013-11-13 Toto株式会社 静電チャック
US8901459B2 (en) 2011-06-30 2014-12-02 Semes Co. Ltd. Substrate supporting units and substrate treating apparatuses including the same
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
CA2991157C (en) 2011-08-30 2019-12-24 Watlow Electric Manufacturing Company High definition heater system having a fluid medium
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9076831B2 (en) 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
CN103123906A (zh) 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 用于处理晶圆的反应装置、静电吸盘和晶圆温度控制方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
WO2013111363A1 (ja) 2012-01-26 2013-08-01 京セラ株式会社 静電チャック
KR101902349B1 (ko) 2012-02-08 2018-09-28 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
KR20150013627A (ko) 2012-04-26 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
JP5823915B2 (ja) 2012-05-29 2015-11-25 新光電気工業株式会社 静電チャックの製造方法
JP5973841B2 (ja) 2012-08-22 2016-08-23 日本特殊陶業株式会社 静電チャックのガス制御装置およびガス制御方法
JP5441019B1 (ja) 2012-08-29 2014-03-12 Toto株式会社 静電チャック
KR102044389B1 (ko) 2012-10-04 2019-11-14 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP5633766B2 (ja) 2013-03-29 2014-12-03 Toto株式会社 静電チャック
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150024517A1 (en) 2013-07-19 2015-01-22 Texas Instruments Incorporated Plasma etcher chuck band
US10211046B2 (en) 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
JP6432474B2 (ja) 2014-03-27 2018-12-05 Toto株式会社 静電チャック
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
KR20160107415A (ko) 2015-03-03 2016-09-19 현대자동차주식회사 차량용 공조장치
JP6435247B2 (ja) 2015-09-03 2018-12-05 新光電気工業株式会社 静電チャック装置及び静電チャック装置の製造方法
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
DE102016115614A1 (de) * 2016-08-23 2018-03-01 Aixtron Se Suszeptor für einen CVD-Reaktor
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10741425B2 (en) 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
KR102511255B1 (ko) * 2017-10-16 2023-03-16 엔지케이 인슐레이터 엘티디 정전 척

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020050246A1 (en) * 2000-06-09 2002-05-02 Applied Materials, Inc. Full area temperature controlled electrostatic chuck and method of fabricating same
US20020129475A1 (en) * 2001-03-19 2002-09-19 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
CN101110381A (zh) * 2006-07-20 2008-01-23 应用材料股份有限公司 利用快速温度梯度控制处理衬底
JP2008028354A (ja) * 2006-07-20 2008-02-07 Applied Materials Inc 急速温度勾配コントロールによる基板処理
US20090034148A1 (en) * 2007-07-31 2009-02-05 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
CN101790786A (zh) * 2007-08-28 2010-07-28 东京毅力科创株式会社 载置台结构及处理装置
CN101933121A (zh) * 2008-02-04 2010-12-29 株式会社Eugene科技 基板支撑单元、基板处理装置及制造基板支撑单元的方法

Also Published As

Publication number Publication date
WO2018128707A1 (en) 2018-07-12
JP7266524B2 (ja) 2023-04-28
KR20220147155A (ko) 2022-11-02
US20180190526A1 (en) 2018-07-05
US10910195B2 (en) 2021-02-02
TWI783960B (zh) 2022-11-21
US20210166914A1 (en) 2021-06-03
KR102458699B1 (ko) 2022-10-24
JP2023052492A (ja) 2023-04-11
KR20190095495A (ko) 2019-08-14
JP2020504452A (ja) 2020-02-06
TW201842620A (zh) 2018-12-01
US11984296B2 (en) 2024-05-14

Similar Documents

Publication Publication Date Title
CN110168714A (zh) 改进工艺均匀性的衬底支撑件
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
CN110383454A (zh) 底部边缘环和中部边缘环
CN110506326A (zh) 可移动的边缘环设计
WO2018075750A1 (en) Pin lifter assembly with small gap
CN107426837A (zh) 层压加热器与加热器电压输入之间的连接
CN110352481B (zh) 无螺栓衬底支撑件组件
US20190035608A1 (en) High power cable for heated components in rf environment
US20180005867A1 (en) Esc ceramic sidewall modification for particle and metals performance enhancements
CN114008738B (zh) 用于衬底处理***的缩小直径承载环硬件
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination