CN110066984B - 实现无缝钴间隙填充的方法 - Google Patents

实现无缝钴间隙填充的方法 Download PDF

Info

Publication number
CN110066984B
CN110066984B CN201910069988.XA CN201910069988A CN110066984B CN 110066984 B CN110066984 B CN 110066984B CN 201910069988 A CN201910069988 A CN 201910069988A CN 110066984 B CN110066984 B CN 110066984B
Authority
CN
China
Prior art keywords
layer
cobalt
substrate
deposition
metal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910069988.XA
Other languages
English (en)
Other versions
CN110066984A (zh
Inventor
布尚·N·左普
阿夫耶里诺斯·V·杰拉托斯
博·郑
雷雨
傅新宇
斯里尼瓦斯·甘迪科塔
柳尚澔
马修·亚伯拉罕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110066984A publication Critical patent/CN110066984A/zh
Application granted granted Critical
Publication of CN110066984B publication Critical patent/CN110066984B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本文提供用于在半导体装置的特征结构界定中沉积金属层的方法。在一个实施方式中,提供用于沉积金属层以形成半导体装置的方法。所述方法包括执行循环金属沉积工艺以在基板上沉积金属层和将设置在基板上的金属层退火。循环金属沉积工艺包括将基板暴露于沉积前驱物气体混合物以在基板上沉积金属层的一部分;将金属层的所述部分暴露于等离子体处理工艺或氢退火工艺;和重复将基板暴露于沉积前驱物气体混合物的步骤和将金属层的所述部分暴露于等离子体处理工艺或氢退火工艺的步骤,直至达到金属层的预定厚度。

Description

实现无缝钴间隙填充的方法
本申请是申请日为2014年9月10日申请的申请号为201480049063.4,并且发明名称为“实现无缝钴间隙填充的方法”的发明专利申请的分案申请。
技术领域
本公开内容的实施方式大体涉及半导体制造工艺领域,更具体地,涉及用于在半导体装置的特征结构中沉积含金属层的方法。
背景技术
集成电路可包括形成于基板(例如半导体晶片)上的一百万个以上的微电子场效应晶体管(例如互补金属氧化物半导体(CMOS)场效应晶体管),且集成电路可协作以在电路内执行多种功能。可靠地生产亚半微米(sub-half micron)和更小的特征结构是用于半导体装置的下一代超大规模集成电路(VLSI)和超大规模集成电路(ULSI)的关键技术之一。然而,随着集成电路技术的极限的推进,VLSI和ULSI技术中的互连的缩小尺寸已对处理能力具有了额外需求。栅极图案的可靠的形成对于集成电路的成功和对于提高电路密度和提高单个基板及芯片(die)的品质的持续努力而言十分重要。
因为特征结构尺寸已变得更小,对较高深宽比(定义为特征结构的深度与特征结构的宽度之间的比例)的需求已稳定地增至20:1和甚至更高。当将金属层沉积至几何形状较小(例如深宽比约为20:1或更小的几何形状)的特征结构界定(feature definition)中时,可能发生多种问题。例如,在过孔的临界尺寸小于50nm或深宽比大于10:1时,通过使用传统的PVD工艺所沉积的金属层常遭遇以下问题:不良的阶梯覆盖、悬垂和在过孔或沟槽内形成空隙。在过孔或沟槽的底部和侧壁上的不充分的沉积亦可导致沉积不连续性,从而导致装置短路或不良的互连形成。此外,金属层可能对下层材料层具有不良粘附,导致金属层从基板和随后的导电金属层上剥落。
随着晶体管密度的增大和随后的金属层截面的缩小,通过使用现有的低电阻率钨(W)集成方案来满足接触电阻需求已变得相当困难。高电阻率粘附(例如B2H6成核)和阻挡层(例如TiN)在钨集成方案中的必需性导致接触电阻增大,从而使其成为小于22纳米的技术节点的不理想的选项。
因此,需要用于在高深宽比的特征结构中形成接触金属层的改良方法。
发明内容
本公开内容的实施方式大体涉及半导体制造工艺领域,更具体地,涉及用于在半导体装置的结构中沉积金属层的方法。在一个实施方式中,提供一种用于沉积金属层以形成半导体装置的方法。所述方法包括执行循环金属沉积工艺以在形成于基板中的特征结构界定中沉积金属层,所述步骤包括:将基板暴露于沉积前驱物气体混合物以在特征结构界定中沉积金属层的一部分;将所述金属层的所述部分暴露于等离子体处理工艺或氢退火工艺;重复将基板暴露于沉积前驱物气体混合物的步骤和将所述金属层的所述部分暴露于等离子体处理工艺或氢退火工艺的步骤,直至达到金属层的预定厚度,和将所述金属层退火。
在另一实施方式中,提供一种用于沉积金属层以形成半导体装置的方法。所述方法包括:执行阻挡层沉积工艺以在形成于基板中的特征结构界定中沉积阻挡层;执行润湿层沉积以在阻挡层上沉积润湿层;执行循环金属沉积工艺以在润湿层上沉积金属层,所述循环金属沉积工艺包括将基板暴露于沉积前驱物气体混合物以在特征结构界定中沉积金属层的一部分,将所述金属层的所述部分暴露于等离子体处理工艺或氢退火工艺,重复将基板暴露于沉积前驱物气体混合物的步骤和将所述金属层的所述部分暴露于等离子体处理工艺或氢退火工艺的步骤,直至达到金属层的预定厚度,和将所述金属层退火。
在又一实施方式中,一种用于沉积金属层以形成半导体装置的方法,所述方法包括执行阻挡层沉积工艺以在形成于基板中的特征结构界定中沉积阻挡层,执行润湿层沉积工艺以在阻挡层上沉积润湿层,在润湿层上执行退火工艺,通过将润湿层暴露于沉积前驱物气体混合物以沉积金属层的一部分来执行金属沉积工艺以在润湿层上沉积金属层,将所述金属层的所述部分暴露于等离子体处理工艺或氢退火工艺,和将所述金属层退火。
附图说明
可参照各实施方式(一些实施方式描绘于附图中)来详细理解本公开内容的上述特征以及以上简要概述的有关各实施方式的更具体的描述。然而,应注意,附图仅图示本公开内容的典型实施方式,因此将不被视作限制本公开内容的范围,因为本公开内容可允许其他同等有效的实施方式。
图1绘示适用于执行本文所述的实施方式的金属沉积处理腔室的一个实施方式的截面图;
图2绘示说明性多腔室处理***的示意性俯视图,所述多腔室处理***中结合有图1中的金属沉积处理腔室;
图3绘示根据本文所述的某些实施方式在半导体装置中形成金属层的流程图;
图4-5绘示根据本公开内容的一个实施方式的半导体装置在金属层制造工艺的形成期间的截面图;和
图6绘示根据本文所述的某些实施方式用于在半导体装置中形成金属层的循环沉积工艺的流程图;
图7绘示根据本文所述的某些实施方式在半导体装置中形成金属层的流程图;
图8-9绘示根据本文所述的某些实施方式的半导体装置在金属层工艺的形成期间的截面图;
图10绘示根据本文所述的某些实施方式在半导体装置中形成金属层的流程图;
图11绘示基板的截面图,所述基板包含用作保形栅极(conformal gateelectrode)并根据本文所述的某些实施方式所沉积的金属层;和
图12绘示CMOS结构的截面图,所述CMOS结构具有根据本文所述的某些实施方式形成的NMOS和PMOS方面。
为了便于理解,在可能的情况下已使用相同的元件符号来标示各图式中共有的相同元件。预期一个实施方式的元件和特征结构可在无需进一步详述的情况下以有利方式并入其他实施方式。然而,应注意,附图仅图示本公开内容的示例性实施方式,且因此将不被视作限制本公开内容的范围,因为本公开内容可允许其他同等有效的实施方式。
具体实施方式
晶体管密度的增大与先进CMOS晶体管的缩小的技术节点(≤11nm)相结合已导致在半导体制造期间所用的导电金属层的截面尺寸缩小。这种金属导电层的实例包括金属接触填充、金属栅极填充和互连填充。用于这些应用的极窄截面尺寸(<20nm)需要金属填充技术而无需较厚的(>2nm)高电阻率阻挡层。利用CVD钴工艺的间隙填充方法为间隙填充提供可能的低接触电阻(Rc)单材料解决方案。要求CVD钴膜具有保形阶梯覆盖和低粗糙度。本文所述的某些实施方式展示出用以在不形成接缝(seam)的情况下填充半导体装置的导电层孔或沟槽的工艺。
在一些实施方式中,发现钴膜的纯度掌控钴无缝填充。可通过工艺温度、工艺气体、循环等离子体处理(H2、N2等等)和沉积后退火条件(在Ar或H2或两者的组合中)来控制CVD钴膜中的碳、氮和氧杂质的原子百分比。CVD钴膜的再流动(re-flow)特性可通过经由上述工艺变量来控制杂质的原子百分比而调节。这些杂质可为碳、氧、氮等形式。在一些实施方式中,1%或更低的碳杂质含量对于实现无缝钴间隙填充较佳。
在一些实施方式中,CVD钴层的循环H2等离子体处理用以降低沉积膜的粗糙度和碳百分比。由此,H*自由基的寿命,尤其是预期用于≤14nm的晶体管技术节点的狭窄(例如CD<15nm和深宽比>5)过孔及沟槽结构内部的H*自由基的使用寿命是用以实现无缝和无空隙钴间隙填充的重要参数。在一些实施方式中,在CVD钴沉积期间,增大等离子体处理的频率允许进行无空隙的钴间隙填充。CVD腔室内部的H*自由基的寿命可通过在等离子体处理步骤期间使惰性稳定气体(诸如氦、氖、氩等等)流动或通过使用感应耦合等离子体源或微波等离子体源或电子束等离子体源而得以改良。或者,在压力(15至60托(Torr))下的H2净化可用以替代H2等离子体。这在较短的H*自由基寿命不允许H*自由基到达具有较高深宽比(例如>10:1)的特征结构的底部的情况下尤为有用。
在一些实施方式中,在化学气相沉积(CVD)模式下,使用叔丁基乙炔六羰基二钴(dicobalt hexacarbonyl tertbutyl acetylene,CCTBA)前驱物沉积钴。然而,替代的钴前驱物亦可用以在化学气相沉积或原子层沉积(ALD)模式中沉积钴膜层。可使用的一些钴前驱物包括脒基钴、环戊二烯基二羰基钴、羰基钴、二氮二烯基钴络合物(complex)、三羰基亚硝酰钴、乙酰丙酮钴、六氟乙酰丙酮钴、氢钴络合物(cobalt hydride complex)、乙酸钴、乙酰丙酮钴(II)。此外,退火步骤可通过使用处于200℃至500℃范围中的工艺温度及存在诸如Ar或H2之类的工艺气体的情况下与CVD或ALD沉积结合。
物理气相沉积(PVD)亦可替代钴的CVD和ALD沉积用于钴的无缝间隙填充。类似于上述工艺,循环PVD钴沉积和退火工艺可用于特征结构界定的无缝填充。或者,可在存在诸如Ar或H2之类的工艺气体的情况下使用高温(200℃至500℃)PVD钴沉积。因为PVD是“视线(line of sight)”沉积工艺,因此润湿层可较佳用于PVD钴再流动。润湿层可为MO TiN、CVD钴、CVD Ru、ALD TaN中的任何一者。或者,沉积在特征结构底部的PVD钴层可在特征结构界定的侧壁上经蚀刻和重新溅射以在侧壁上提供连续的钴膜,从而允许PVD钴从场中再流动至特征结构界定的底部。
在一些实施方式中,可在双模式脱气腔室中通过使用集成退火(不破坏真空)来实现钴膜的再流动。在一些实施方式中,可通过在破坏真空之后退火来实现钴膜的再流动。在一些实施方式中,300℃至400℃的退火温度可较佳用于实现无缝间隙填充。然而,可使用诸如200℃至500℃的温度范围。在一些实施方式中,在H2环境中进行退火改良钴无缝填充。诸如与惰性气体混合的H2以及纯惰性气体(Ar或N2)的其他环境可用于退火。其他退火变量包括退火时间和退火状态(流动或静态)。
本文所述的实施方式的潜在应用包括金属栅极填充、互连填充,和接触填充。本文所述的某些实施方式通过允许进行低电阻率无缝钴填充来实现将钴用作pMOS功函数金属及金属栅极填充材料。当前的金属栅极填充材料(钨)在钨沉积之前需要较厚(>2nm)的TiN阻挡层及较高电阻率的钨成核层。
本文所述的某些实施方式可用来利用钴填充互连结构的过孔和/或沟槽,其中不用或利用较薄(≤1纳米)的TiN阻挡层。传统的铜填充集成方案在进行铜填充之前需要较厚(>2nm)的阻挡层(诸如Ta和TaN)。
本文所述的某些实施方式可用来利用钴填充接触过孔和沟槽,其中利用较薄(1纳米)的TiN阻挡层以阻止钴在半导体结基板(semiconductor junction substrate)中扩散。
本公开内容的实施方式提供利用金属CVD和PVD工艺(例如钴CVD和PVD工艺)进行的间隙填充,从而产生对于特征结构界定的金属填充可能的低接触电阻(Rc)单材料解决方案。根据本文所述的实施方式沉积的金属层可用作功函数材料、金属栅极填充、金属接触填充和互连填充。示例性的特征结构界定包括诸如以下各特征结构界定:过孔、沟槽、接线、接触孔,或用于半导体、太阳能或其他电子装置的其他特征结构界定,诸如高深宽接触插头(plug)。根据本文所述的实施方式所沉积的CVD和PVD膜具有保形阶梯覆盖和较低的表面粗糙度。此外,本文中展示出的实施方式展示在不形成接缝的情况下填充半导体装置的特征结构界定的工艺。
在一个实施方式中,提供一种用于在基板上沉积金属层的方法,所述方法包括将基板暴露于钴前驱物气体和氢气以选择性地在特征结构界定内形成无缝间隙填充钴层的一部分,和在后处理工艺期间将所述钴层暴露于等离子体和试剂,诸如氮、氨、氢、氨/氮混合物,或上述各者的组合。
如本文中所使用的,术语高k介电材料包括介电常数大于10的介电材料。适合的高k介电材料包括介电常数为25或更大的介电材料。可使用的一类高k介电材料包括一种或更多种氧化物材料。适合的氧化物材料的实例包括氧化铪、硅酸铪、氮氧化硅铪、上述各者的铝酸盐,或上述各者的衍生物或组合。其他氧化物材料包括氧化镧、硅酸镧、氧化锆、硅酸锆,或上述各者的组合。一种或更多种氧化物材料中的每一者亦可掺杂选自以下组的材料:锆、镧、铈、钛,或上述各者的组合。
如本文中所使用的,术语“基板”是指充当后续处理操作的基础的材料层,所述材料层包括一表面,所述表面被设置成在其上形成金属层。基板可为诸如晶体硅(例如Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或非掺杂多晶硅、掺杂或非掺杂硅晶片、图案化或非图案化的绝缘体上硅(silicon on insulator,SOI)晶片、掺碳氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃或蓝宝石之类的材料。基板可包括诸如二氧化硅(SiO2)之类的介电材料,或介电常数大于4.0的高k介电材料,诸如SiON、SiN、氧化铪(HfO2)、硅酸铪(HfSiO2)、氮氧化硅铪(HfSiON)、氧化锆(ZrO2)、硅酸锆(ZrSiO2)、钛酸钡锶(BaSrTiO3或BST)、锆钛酸铅(Pb(ZrTi)O3或PZT)和类似材料。基板亦可包括一种或更多种不导电材料,诸如硅、氧化硅、掺杂硅、锗、砷化镓、玻璃和蓝宝石。基板亦可包括诸如二氧化硅、有机硅酸盐和掺碳氧化硅之类的介电材料。此外,基板可根据应用而包括诸如金属氮化物和金属合金之类的任何其他材料。
如本文中所使用的,术语“功函数”是以电子伏特(electron volt,eV)测量的材料特性,所述术语表示在将电子从固体移至固体表面外的点处时所需的能量,或在将电子从费米能级(Fermi level)移至真空中时所需的能量。实际上,功函数值是在将金属电子从金属移至高k材料时所需的能量。人们认为,所述值接近理想功函数且有时可能由于沉积在介电材料上的金属的结构而变化。对于金属而言,功函数是常数,对于半导体材料而言,功函数可通过添加诸如硼或磷之类的一般被视作掺杂材料的其他材料而加以修改。当在金属栅极电极结构中使用具有不同所需功函数的材料时,可修改晶体管的阈值电压。
如本文中所使用的,术语“功函数材料”是指具有功函数材料特性及用以在晶体管结构中形成栅极电极的所需特性(诸如电特性)的材料。功函数材料可设置于高k介电材料上或邻近高k介电材料以提供功函数材料特性对晶体管的金属栅极电极结构的最大影响。所需的功函数将根据高k材料及源极、漏极和栅极的掺杂类型和掺杂量而定。由此,功函数金属的组分可能需要变化以达到所需的量。N型金属平面栅极结构的功函数通常应等于或小于4.3eV,而诸如FinFET栅极结构之类的可接受更高掺杂的非平面栅极结构的功函数可等于或小于4.4eV。给定电路设计的功函数视所允许的掺杂量而定。
功函数材料可为本文所述的和通过本文所述的工艺而沉积的金属材料、金属碳化物材料、金属硅化物材料、金属碳化硅化物材料、金属碳化氮化物材料或金属硼化物材料。此外,所述金属材料、金属碳化物材料、金属硅化物材料、金属碳化硅化物材料、金属碳化氮化物材料或金属硼化物材料可含有诸如铝之类的其他导电材料。适合的功函数材料包括选自以下组的材料:钽、铪、钛、镧、碳化钽、碳化铪、碳化钛、碳化镧、硅化铪、硅化钽、硅化钛、硅化镧、碳化硅化钽、碳化硅化铪、碳化硅化钛、碳化硅化镧、铝化碳化铪、铝化碳化钽、铝化碳化镧、碳化氮化钽、铝化氮化钽、硼化镧、硼化铪或上述各者的组合。此外,功函数材料可沉积至例如约
Figure GDA0002078794950000081
或以上的厚度,诸如从约
Figure GDA0002078794950000082
至约
Figure GDA0002078794950000083
例如约
Figure GDA0002078794950000084
的厚度。
在一个或更多个实施方式中,基板可形成包括栅极介电层和栅极电极层的栅极结构,以便于与随后在所述结构上形成的诸如插头、过孔、触点、接线和导线之类的互连特征结构界定连接。基板可具有多种尺寸,诸如200mm、300mm,或450mm直径的晶片或其他尺寸,以及矩形或方形的面板。除非另作说明,否则本文所述的实施方式及实例可在具有200mm直径、300mm直径或450mm直径的基板上实施,特别是在具有300mm直径的基板上实施。
如本文中所使用的,术语“接触结构”是指包括接触金属层的材料层,所述接触金属层能够形成栅极电极的一部分。在一个或更多个实施方式中,接触金属层可为镍层、钴层、钛层或上述各者的任何组合。
此外,基板不受限于任何特定的大小或形状。基板可为具有200mm直径、300mm直径或其他直径等等的圆形晶片,例如450mm直径的晶片。基板亦可为任何多边形、方形、矩形、弧形(curved)或其它非圆形的工件,诸如用于平面显示器制造中的多边形玻璃基板。
本文所述的实施方式提供在特征结构界定内沉积/形成金属层以形成金属结构的方法。沉积工艺可有效地改良沉积膜在整个基板上的阶梯覆盖、保形性和连续性及均匀性,从而改良在整个基板上形成的整体膜特性。
图1图示可用以通过如本文所述的气相沉积工艺形成金属材料的处理腔室150。金属材料可含有金属钴、金属镍、这两者的衍生物,或这两者的组合。处理腔室150可用以执行CVD、等离子体增强CVD(PE CVD)、脉冲CVD、ALD、PE ALD、上述各者的衍生方法或上述各者的组合。处理腔室150可用以使先前沉积的金属层退火。由此,沉积工艺及后续退火可在同一处理腔室中原位(in-situ)执行。诸如回旋(convolute)液体沟道162之类的水沟道可用以在用于沉积含钴材料的气相沉积工艺期间调节盖组件100的温度。在一个实施方式中,盖组件100可被加热或维持在从约100℃至约300℃,优选为从约125℃至约225℃,且更优选为从约150℃至约200℃的范围内的温度。在含钴材料和/或含镍材料的气相沉积工艺期间可维持温度。
喷头156具有相对较短的向上延伸缘158,所述延伸缘与气箱板160耦接。喷头156和气箱板160可由金属形成或含有金属,诸如铝、不锈钢,或这两者的合金。回旋液体沟道162形成于气箱板160的顶部中,并由水冷却盖板134覆盖和密封。一般使水流经回旋液体沟道162。然而,可单独使用酒精、二醇醚和其他有机溶剂或将上述各者与水混合以将热输送出或输送至盖组件100。回旋液体沟道162形成为蛇形但一般形成为圆周形的路径,所述路径在从内侧进行至外侧直至路径返回径向沟道(未示出)中的内侧时具有弯曲部(例如三个急剧的U形转弯或U形弯曲)。回旋液体沟道162较为狭窄,足以确保水流变得湍急,由此协助热从气箱板160的凸缘流至回旋液体沟道162中的水。液体温度调节***(未示出)可附接至回旋液体沟道162且用于将热输送出或输送至盖组件100。在一个实例中,盖组件100被配置成被加热或维持在约150℃的温度,并与诸如丁基乙炔六羰基二钴(CCTBA)的钴前驱物源和诸如H2的氢前驱物源流体连通。
喷头156的延伸缘158附接至气箱板160的底缘171。缘158及171在围绕盖隔离件(lid isolator)175与喷头156的被围绕的下部空腔130之间具有最大尺寸。喷头156与气箱板160之间的螺钉紧固确保最大尺寸的接触区上的优良的热接触。热流区域从盖隔离件175外侧(盖隔离件175与喷头156或与气箱板160之间的间隙除外)延伸至下部空腔130处的内侧。回旋液体沟道162的结构在水与气箱板160之间提供高效的热输送。气箱板160的凸缘与喷头156之间的机械界面确保气箱板160与喷头156之间的高效热输送。由此,喷头156的冷却得以大幅增强。
处理腔室150进一步包含加热器基座152,所述基座连接至可在处理腔室150内垂直地移动的基座杆154。加热器基座152的加热器部分可由陶瓷材料形成。在加热器基座152的上部沉积位置,加热器基座152将基板402保持在与喷头156的下表面107紧邻相对之处。处理区域126界定在加热器基座152与喷头156的下表面107之间。喷头156具有多个孔或洞(hole)109,这些孔或洞在下部空腔130与处理区域126之间形成连通以允许处理气体通过。经由在水冷式铝制气箱板160的中心处形成的气体端口132而供应处理气体。气箱板160的上侧被水冷盖板134覆盖,所述水冷盖板环绕气箱板160的上部,所述气箱板160包括气体端口132。气体端口132将处理气体供应至通过阻隔板140而与下部空腔130分隔开的上部空腔138。阻隔板140具有许多个穿过所述阻隔板设置的洞109。在一个实施方式中,空腔130及138、喷头156和阻隔板140使处理气体均匀地分配在基板402的上表面上。
基板402可被支撑在加热器基座152上,图中示出所述基板位于上升沉积位置。在下降装载位置,升降环116附接至升降四个升降销118的升降管117。升降销118装配滑入加热器基座152中,使得升降销118能够将通过负载锁定端口119而载入腔室的基板402接收在腔室主体120中。在一个实施方式中,加热器基座152可包含可选的限制环110,诸如在等离子体增强气相沉积工艺期间包含所述限制环。
侧面净化气源123可耦接至处理腔室150并被配置成按需求向基板402的边缘部分151供应净化气体。在一个实施方式中,可从侧面净化气源123向基板402的边缘部分151供应气体。气体可为氢气、氩气、氮气、氦气、上述各者的组合或类似气体。此外,底部净化气源125亦可耦接至处理腔室150以从处理腔室150的底部向基板402表面供应净化气体。类似地,由底部净化气源125供应的净化气体可包括氢气、氩气、氮气、氦气、上述各者的组合或类似气体。
盖隔离件175***在喷头156与盖缘166之间,所述盖隔离件可从腔室主体120提离(lift off)以开启处理腔室150以进行维护进出。处理腔室150内的真空由连接至处理腔室150内的泵增压部(pump plenum)172的真空泵170维持,所述泵增压部连接至环形泵送沟道174。
由石英制成的环形腔室衬垫179设置在处理腔室150中,所述衬垫不仅界定环形泵送沟道174的侧面,而且部分界定设置在处理区域126与环形泵送沟道174之间的另一扼流器孔(choke aperture)181。环形腔室衬垫179亦在加热器基座152的下降位置中支撑限制环110。腔室衬垫179亦在加热器基座152的背侧环绕圆周。腔室衬垫179搁置在腔室主体120中的狭窄的突出部分上,但几乎无其他接触,以便使热输送降至最低。由不透明的石英制成的下部腔室屏蔽件121位于腔室衬垫179下方。下部腔室屏蔽件121可为z型腔室屏蔽件。下部腔室屏蔽件121搁置在腔室主体120底部上的形成于下部腔室屏蔽件121底部上的环形凸起部177上。石英防止加热器基座152的底部与腔室主体120之间发生辐射耦合。环形凸起部177使向腔室主体120的热输送降至最低。在替代性实施方式中,下部腔室屏蔽件121包括向内延伸的下唇部,所述下唇部接合至与腔室主体120的内壁保持一致的圆锥形上部。尽管此替代性设计在操作方面令人满意,但用石英制造倾斜形状更为昂贵。
在一个实施方式中,远程等离子体源141可经由气体端口132耦接至处理腔室150,以将来自远程等离子体源141的反应性等离子体经由喷头156中的多个洞109供应至处理腔室150中的基板402表面。应注意,远程等离子体源141可在任何适当的位置处耦接至处理腔室150,以按需求将反应性远程等离子体源供应至基板402表面。可供应至远程等离子体源141以被解离并进一步输送至基板402表面的适合的气体包括氢、氩、氦、氮、氨、上述各者的组合和类似气体。
在图1中,控制单元180可耦接至处理腔室150以控制处理条件。控制单元180包括中央处理单元(CPU)182、支持电路***184和包含关联控制软件183的存储器186。控制单元180可为可用于控制多种腔室和子处理器的工业设定中的任何形式的通用计算器处理器中的一者。CPU 182可使用任何适合的存储器186,例如随机存取存储器、只读存储器、软盘驱动器、光盘驱动器、硬盘或任何其他形式的本地或远程数字储存器。多种支持电路可耦接至CPU182以用于支持处理腔室150。控制单元180可耦接至位于独立腔室部件邻近处的另一控制器。控制单元180与处理腔室150的多种其他部件之间的双向通信经由被统称为信号总线(signal bus)的多个信号缆线被处理,这些信号总线中的一些在图1中示出。
图2为说明性多腔室处理***200的示意性俯视图,所述多腔室处理***能够适于执行如本文中所披露的金属层沉积工艺,所述多腔室处理***中整合有如上文中参照图1所述的处理腔室150。***200可包括一个或更多个负载锁定腔室202及204以用于将基板402传送至和传送出***200。一般而言,***200维持在真空状态,并且负载锁定腔室202及204可被“抽真空”以将基板402引入***200中。第一机械手210能够在负载锁定腔室202及204与第一组一个或更多个基板处理腔室212、214、216和150之间传送基板402。每一处理腔室212、214、216和150被配置成进行以下基板沉积工艺中的至少一者:诸如循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、脱气、预清洁、定向、退火和其他基板工艺。此外,处理腔室212、214、216和150中的一者亦可被配置成在基板402上执行沉积工艺或热退火工艺之前执行预清洁工艺。用于执行热退火工艺的处理腔室150相对于其他腔室212、214、216的位置为说明性的,如果需要,处理腔室150的位置可视情况与处理腔室212、214、216中的任一者交换。
第一机械手210亦能够将基板402传送进/出一个或更多个传送腔室222和224。传送腔室222和224能够用于维持超高真空条件,同时允许基板402在***200内传送。第二机械手230能够在传送腔室222及224与第二组一个或更多个处理腔室232、234、236及238之间传送基板402。与处理腔室212、214、216及150类似,处理腔室232、234、236及238能够被装备成执行多种基板处理操作,这些操作包括例如除循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、脱气和定向之外的本文所述的干式蚀刻工艺。如果将由***200执行的特定工艺并非必需,则基板处理腔室212、214、216、232、234、236及238中的任一者可从***200中移除。在预清洁之后,在处理腔室150中执行沉积和/或热退火工艺,基板可进一步被传送至***200的处理腔室212、214、216、232、234、236及238中的任一者以按需求执行其他工艺。
图3图示处理工序300的一个实施方式的流程图,所述处理工序用于在基板上的半导体装置结构的特征结构界定内沉积金属层。图3中所述的工序对应于图4-5中绘示的制造阶段,这些制造阶段在下文中进行论述。图4-5图示在制造装置结构408的特征结构界定内的金属层420的不同阶段(由处理工序300所示)期间,在基板402上形成装置结构408的基板402的示意性截面图。图3的工序一般通过参考经CVD、ALD或PVD沉积的钴金属层而提供。
可能的集成方案包括但不限于:(a)PVD钛+ALD氮化钛;(b)PVD钛+CVD钴;(c)CVD钴;和(d)CVD钴+PVD钴。PVD钛在源极或漏极处提供与下方硅化物的优良电接触。ALD氮化钛改良钴膜的附着力(如有需要可协助钴膜的再流动)。CVD钴:使用CVD膜来进行钴填充,或在CVD之后通过再流动来进行钴填充。
处理工序300开始于方块310,将基板提供至处理腔室内,所述基板内形成有特征结构界定(诸如基板402,基板402内形成有特征结构界定406a、406b(统称406),如图4的(a)中所示),例如设置于图1中所示的处理腔室150或其他适合的处理腔室中的基板402。图4的(a)中所示的基板402包括形成于基板402上的半导体装置结构408(例如,诸如接触结构、栅极结构或互连结构)。应注意,所述特定装置结构408可用于三维(3-D)闪速存储器(flashmemory)应用、DRAM应用或具有较高深宽比或其他奇特几何形状的其他适合应用中。
层404形成于基板402上,基板402中形成有特征结构界定406a、406b,这些特征结构界定具有高深宽比,诸如大于10:1的深宽比,例如约大于20:1的深宽比。特征结构界定406a、406b形成在装置结构408中且具有侧壁412及底部414,所述侧壁及底部形成开放沟道以暴露下方的层404。层404可包括任何适合的层,诸如单个硅层、高k介电层、低k介电层,或在其中形成有前述层中至少一者的多层膜堆叠。在层404为单层形式的实施方式中,层404可为氧化硅层、氧化物层、氮化硅层、氮化物层、氮氧化硅层、氮化钛层、多晶硅层、微晶硅层、单晶硅、掺杂多晶硅层、掺杂微晶硅层或掺杂单晶硅。
在另一实例中,当层404是含硅层时,层404可为膜堆叠,所述膜堆叠包括复合的氧化物和氮化物层、夹有氮化物层的至少一个或更多个氧化物层和上述各者的组合。掺杂在含硅层404中的适合的掺杂剂可包括p-型掺杂剂和n-型掺杂剂,诸如含硼(B)掺杂剂或含膦(P)掺杂剂。在层404为具有至少一个含硅层的多个膜堆叠形式的一个实施方式中,含硅层404可包括重复的层对,这些层对包括含硅层和介电层。在一个实施方式中,层404可包括设置于层404中的多晶硅层和/或其他金属材料和/或介电层。介电层的适合的实例可选自由以下各者组成的组:氧化物层、氧化硅层、氮化硅层、氮化物层、氮化钛层、氧化物与氮化物的复合物的层、夹有氮化物层的至少一个或更多个氧化物层及上述各者的组合,等等。
在如方块310处所述的将基板402传送至金属沉积处理腔室中之前,视情况执行预清洁工艺以处理基板表面411、特征结构界定406a、406b的侧壁412及底部414以移除原生氧化物或其他污染物源。从基板402移除原生氧化物或其他污染物源可提供较低接触电阻表面,以形成优良的接触表面以用于形成金属层。
所执行的预清洁工艺包括将预清洁气体混合物供应至预清洁腔室内。预清洁腔室可为可从美国California(加利福尼亚)州Santa Clara(圣克拉拉)市的AppliedMterials,Inc.(应用材料公司)购得的Preclean PCII腔室、PCXT腔室或SICONITM腔室。预清洁腔室可按需要被并入说明性多腔室处理***200中并且可被配置成成为***200的处理腔室212、214、216、232、234、236、238中的一者。应注意,可从其他制造商购得的其他预清洁腔室亦可用以实践本文所述的实施方式。
预清洁工艺通过以下方式执行:将清洁气体混合物供应至被并入***200中的预清洁处理腔室内,以由预清洁气体混合物形成等离子体以用于移除原生氧化物。在一个实施方式中,用于移除原生氧化物的预清洁气体混合物是氨气(NH3)与三氟化氮(NF3)气体的混合物。被引入处理腔室的每一种气体的量可加以改变和调整以适应例如待移除的原生氧化物层的厚度、正在清洁的基板的几何形状、等离子体体积容量(volume capacity)、腔室主体的体积容量以及耦接至腔室主体的真空***的性能。
在一个或更多个实施方式中,添加气体以提供氨气(NH3)与三氟化氮(NF3)的摩尔比至少为1:1的预清洁气体混合物。在一个或更多个实施方式中,预清洁气体混合物的摩尔比至少为约3:1(氨气与三氟化氮之比)。以从约5:1(氨气与三氟化氮之比)至约30:1的摩尔比引入气体。在又一实施方式中,气体混合物的摩尔比从约5:1(氨气与三氟化氮之比)至约10:1。预清洁气体混合物的摩尔比亦可降至约10:1(氨气与三氟化氮之比)与约20:1之间。
亦可将净化气体或载气添加至预清洁气体混合物。能使用任何适合的净化气体/载气,诸如氩气、氦气、氢气、氮气或上述各者的混合物。总预清洁气体混合物的氨气与三氟化氮的体积百分比为从约0.05%至约20%。预清洁气体混合物中的剩余气体可为净化气体/载气。
预清洁腔室内的操作压力可变化。压力可维持在约1托与约10托之间。可应用射频源功率以维持清洁气体混合物中的等离子体。例如,可应用约15瓦(Watt)至约100瓦的功率以维持预清洁处理腔室内部的等离子体。应用所述功率的频率为约350kHz。频率的范围可从约50kHz至约350kHz。等离子体能量将氨气和三氟化氮气体解离为反应性物质,例如氟自由基和/或氢自由基,这些氟自由基和/或氢自由基在气相中结合而形成高反应性的氟化氨(NH4F)化合物和/或氟化氢铵(NH4F·HF)。然后,这些分子从等离子***置被递送至待清洁的基板表面。净化气体/载气可用于促进反应性物质向基板的递送。在一个实施方式中,可在预清洁工艺之后沉积钛层。钛层的作用是在过孔与下方基板的界面处收集任何剩余的氧,这样提供与下方基板的改良的电接触。
在方块320处,在金属层在基板402上沉积之前而在方块310处在金属沉积处理腔室150中提供基板402之后,可执行预处理工艺以预处理基板表面411,由此在基板表面411、层404中的特征结构界定406a、406b的侧壁412及底部414上形成经处理的表面区域410,如图4的(b)中所示。应注意,尽管图示两个特征结构界定406a、406b,但基板402可具有任何数目的特征结构界定406。在某些实施方式中,基板表面411可具有一些弱的或残余的Si-F、N-F、H-F及Si-N悬空键合(dangling bonding)结构,基板表面上的这些悬空键合结构是从先前在基板402上执行的可选预清洁工艺中留下的。悬空键可能以不良及不利方式阻碍在后续金属沉积工艺中沉积在基板表面上的金属原子的吸附或粘附。由此,可执行方块320处的预处理工艺,以有效地改变含硅层404的基板表面411的表面键合结构,从而提供具有良好吸附能力的表面以促进在后续金属沉积工艺中提供的金属原子的粘附。相信预处理工艺可有效地将Si-F、H-F、N-F及Si-N的键合结构转换成Si-H或Si-Si的键合或移除,这样可有助于金属原子的粘附以在表面上形成层。
在一个实施方式中,可在金属沉积工艺之前将预处理气体混合物供应至金属沉积处理腔室150以改变基板402的表面性质。在一个实施方式中,预处理气体混合物可包括至少一种含氢气体,诸如H2、H2O、H2O2或类似物。亦可将诸如Ar、He、Kr及类似物的惰性气体供应至预处理气体混合物。此外,亦可将诸如N2、NH3、N2O、NO2及类似物的含氮气体供应至预处理气体混合物。在一示例性的实施方式中,所供应的用来预处理基板表面411的预处理气体混合物包括诸如氢气的含氢气体和诸如氩气的惰性气体。在另一示例性的实施方式中,所供应的用来预处理基板表面411的预处理气体混合物包括诸如氢气的含氢气体、诸如氩气的惰性气体和诸如氨气的含氮气体。
可从远程等离子体源(诸如耦接至金属沉积处理腔室150的远程等离子体源141)供应预处理气体混合物,以远离处理腔室150将预处理气体混合物等离子体供应至基板表面411。或者,可从安装在处理腔室150中的任何其他适合源将预处理气体混合物供应至基板表面411。
在方块320处的预处理工艺期间,数个工艺参数可被调整以控制预处理工艺。在一示例性的实施方式中,调整金属沉积处理腔室150中的工艺压力为处于约50毫托至约5000毫托之间,诸如处于约500毫托与约1000毫托之间,例如处于约700毫托。可应用射频源功率以维持预处理气体混合物中的等离子体。例如,可应用约1000瓦至约6000瓦的功率以维持处理腔室150内部的等离子体。可使在预处理气体混合物中供应的含氢气体以约400sccm至约4000sccm之间的流量(rate)流入处理腔室150,并且可使在预处理气体混合物中供应的惰性气体以约200sccm与约2000sccm之间的流量流动。在预处理气体混合物中供应的含氮气体可以约100sccm与约3000sccm之间的流量流动。基板402的温度维持在约125摄氏度至约250摄氏度之间。在一个实施方式中,基板402根据操作温度、压力和气体流量而经受预处理工艺达约10秒至约2分钟。例如,基板402可暴露达约30秒至约60秒。在一示例性的实施方式中,基板暴露达约40秒或更短时间。
视情况,可在方块330处执行阻挡层沉积工艺以在特征结构界定406a、406b中沉积阻挡层416,如图4的(c)中所示。阻挡层416通常防止金属层向基板上的结材料(junctionmaterial)扩散,所述结材料通常为硅或硅锗化合物。阻挡层通常含有金属或金属氮化物材料,诸如钛(Ti)、氮化钛(TiN)、上述各者的合金或上述各者的组合。阻挡层416亦可包括经等离子体氮化的(N2或NH3)钛和PVD钴。若阻挡层416包括经氮化的钛层,则仅顶部少数几埃的钛转换成氮化钛化合物。已发现,氧化和非氧化的钛及氮化钛阻挡层皆提供改良的扩散阻力。阻挡层416可具有从约
Figure GDA0002078794950000161
至约
Figure GDA0002078794950000162
的范围内的厚度,更确切为从约
Figure GDA0002078794950000163
至约
Figure GDA0002078794950000164
的范围内的厚度,更确切为从约
Figure GDA0002078794950000165
至约
Figure GDA0002078794950000166
的范围内的厚度,更确切为从约
Figure GDA0002078794950000167
至约
Figure GDA0002078794950000168
的范围内的厚度,更确切为从约
Figure GDA0002078794950000169
至约20
Figure GDA0002078794950000171
的范围内的厚度,更确切为从约
Figure GDA0002078794950000172
至约
Figure GDA0002078794950000173
的范围内的厚度,且更确切为从约
Figure GDA0002078794950000174
至约
Figure GDA0002078794950000175
的范围内的厚度。通常利用原子层沉积(ALD)、等离子体增强ALD(PE-ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)工艺来沉积阻挡层。
阻挡层416类似于润湿层,下文中对润湿层进行详细描述。如上所述的阻挡层416通常防止金属层向基板上的结材料扩散。润湿层通常增强金属层(在一些实施方式中为钴)的粘着性,这在对金属层执行的退火工艺期间减少在特征结构界定中的不良空隙的形成。
在方块340处,在基板表面上执行方块320中的预处理工艺以形成经处理的表面区域410之后或在方块330中的阻挡层的沉积之后,可在处理腔室150中执行CVD或PVD金属沉积工艺以沉积金属层420,如图5的(a)所示。可使用图6中描述的循环沉积工艺沉积金属层420。金属层420填充特征结构界定406a、406b。金属层420的适合实例包括钛(Ti)、钴(Co)、镍(Ni)、上述各者的合金或上述各者的任何组合。在本文描述的一个特定实施方式中,在基板402上沉积的金属层420为钴(Co)层。
可使用多步骤沉积工艺来沉积金属层420,所述多步骤沉积工艺包括执行循环金属沉积工艺以沉积金属层420并随后对金属层420进行退火的多个循环。在某些实施方式中,金属层420的厚度应小于待填充的最小特征结构界定的特征结构界定直径(临界尺寸)的50%。例如,执行循环金属沉积工艺以部分地填充特征结构界定至小于特征结构界定直径的一半处,随后进行退火工艺。然后,将重复进行循环沉积工艺及随后的退火以进行沉积,直至金属层420达到预定厚度。在一替代性的实施方式中,金属层420可在单个非循环沉积工艺中经沉积以完全填充特征结构界定。在此实施方式中,随后将金属层420退火。非循环金属层沉积工艺及后续的退火工艺提高产量,因为这些工艺需要较少时间来完成。
图6绘示根据本公开内容的一个实施方式的如方块340中所示的用于在半导体装置中形成金属层(诸如金属层420)的循环沉积工艺的流程图。在一个实施方式中,所述工艺包括:将基板暴露于沉积气体以形成金属层的一部分(方块510),视情况净化沉积腔室(方块520),将基板暴露于等离子体处理工艺或退火工艺(方块530),视情况净化沉积腔室(方块540),和确定是否已达到金属层的预定厚度(方块550)。在一个实施方式中,若尚未形成具有预定厚度的钴金属层,则可重复方块510至550的循环。或者,一旦已形成具有预定厚度的金属层,则可停止工艺。
在金属沉积工艺期间,可通过在热CVD工艺、脉冲CVD工艺、PE-CVD工艺、脉冲PE-CVD工艺或热ALD工艺期间,将沉积前驱物气体混合物引入金属沉积处理腔室150来形成或沉积金属层420,所述沉积前驱物气体混合物包括钴前驱物或镍前驱物,这两种前驱物与(或不与)诸如氢气(H2)或氨气(NH3)的还原性气体混合物(试剂)同时地、先后地或交替地引入金属沉积处理腔室150。此外,沉积前驱物气体混合物亦可包括净化气体混合物,所述净化气体混合物同时被供应至处理腔室内以用于处理。在另一实施方式中,可通过在热ALD工艺或脉冲PE-CVD工艺期间依序地将诸如钴前驱物的沉积前驱物气体混合物的脉冲和诸如氢气(H2)或氨气(NH3)的还原性气体混合物的脉冲重复地引入金属沉积处理腔室150来形成或沉积金属层420。在另一实施方式中,可通过在热ALD工艺或脉冲PE-CVD工艺期间使诸如氢气(H2)或氨气(NH3)的还原性气体混合物持续流动,同时重复地将诸如钴前驱物的沉积前驱物气体混合物的脉冲和还原性气体混合物的脉冲引入金属沉积处理腔室150来形成或沉积金属层420。在另一实施方式中,可通过在PE-CVD工艺期间在等离子体条件下使诸如氢气(H2)或氨气(NH3)的还原性气体混合物和诸如钴前驱物的沉积前驱物气体混合物持续流动来形成或沉积金属层420。在另一实施方式中,可通过在PE-CVD工艺期间在等离子体条件下使诸如氢气(H2)或氨气(NH3)的还原性气体混合物持续流动,并且周期性地脉冲输送诸如钴前驱物的沉积前驱物气体混合物,来形成或沉积金属层420。
用于通过本文所述的CVD或ALD工艺形成含钴材料(例如金属钴或钴合金)的适合的钴前驱物包括羰基钴络合物、脒基钴化合物、二茂钴化合物、二烯基钴络合物、亚硝酰钴络合物、上述各者的衍生物、上述各者的络合物、上述各者的等离子体或上述各者的组合。在一些实施方式中,可通过CVD和ALD工艺沉积钴材料,这些CVD及ALD工艺在共同受让的美国专利第7,264,846号和于2003年5月22日提交的美国序列第10/443,648号(公开号为US2005-0220998)中进行进一步描述。
适合的钴前驱物可包括但不限于羰基钴络合物、脒基钴化合物、二茂钴化合物、二烯基钴络合物、亚硝酸基钴络合物、二氮二烯基钴络合物、氢化钴络合物、上述各者的衍生物、上述各者的络合物、上述各者的等离子体,或上述各者的组合。在一个实施方式中,可在本文中使用的钴前驱物的实例包括丁基乙炔六羰基二钴(CCTBA,(CO)6CO2(HC≡CtBu))、甲基丁基乙炔六羰基二钴((CO)6Co2(MeC≡CtBu))、苯基乙炔六羰基二钴((CO)6Co2(HC≡CPh))、甲基苯基乙炔六羰基二钴((CO)6Co2(MeC≡CPh))、甲基乙炔六羰基二钴((CO)6Co2(HC≡CMe))、二甲基乙炔六羰基二钴((CO)6CO2(MeC≡CMe))、脒基钴(C20H42CoN)、六氟乙酰丙酮钴(Co(C5HF6O2)2·xH2O)、乙酰丙酮钴((CH3COC=COCH3)3Co)、乙酰丙酮钴(II)((CH3COC=COCH3)2Co)、醋酸钴((CH3COO)2Co)、上述各者的衍生物、上述各者的络合物、上述各者的等离子体或上述各者的组合。其他示例性的羰基钴络合物包括二(羰基)环戊二烯基钴(CpCo(CO)2)、三羰基烯丙基钴((CO)3Co(CH2CH=CH2))、三羰基亚硝酰钴(Co(CO)3NO)、上述各者的衍生物、上述各者的络合物、上述各者的等离子体或上述各者的组合。本文中使用的钴前驱物的一特定实例为丁基乙炔六羰基二钴(CCTBA,(CO)6Co2(HC≡CtBu))。应注意,丁基乙炔六羰基二钴(CCTBA,(CO)6Co2(HC≡CtBu))前驱物可利用诸如氩气的载气供应至金属沉积处理腔室150中。
替代性试剂(亦即在如本文所述的沉积工艺期间与钴前驱物一起用于形成钴材料的还原剂)的实例可包括氢(例如,H2或原子H)、氮(例如,N2或原子N)、氨(NH3)、肼(N2H4)、氢与氨的混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、磷化氢(PH3)、上述各者的衍生物、上述各者的等离子体或上述各者的组合。在一特定实例中,本文中使用的试剂或还原剂是氨(NH3)。
在方块340中的循环沉积工艺期间,在沉积前驱物气体混合物的每一脉冲与等离子体预处理工艺之间,可在每一个或选定的沉积前驱物脉冲之间从处理腔室150的侧面/边缘和/或底部将净化气体混合物供应至基板402的边缘部分151。可由设置在处理腔室150中的侧面净化气源123和/或底部净化气源125供应净化气体混合物,以将净化气体混合物供应至基板402表面的边缘/周边。应注意,如本文所述的基板402的边缘/周边区域对于300mm基板而言,可以是指与基板边缘/斜面相距约1mm与约5mm之间的基板402边缘区域,或指与基板中心点/中心线(例如穿过基板中心点的直径)相距约145mm与约149mm之间的基板402边缘区域。应理解,在方块530中的等离子体处理工艺或退火工艺期间,气流亦可用于净化处理腔室。
在一个实施方式中,在金属沉积工艺中供应的净化气体混合物可包括至少一种含氢气体和一种惰性气体。应注意,在沉积工艺期间可按需求将净化气体混合物与沉积前驱物气体混合物一同供应。含氢气体的适合实例可包括H2、H2O、H2O2或类似物。惰性气体的适合实例包括Ar、He或Kr。在一特定实施方式中,在金属沉积工艺期间供应的净化气体混合物可包括氢气和氩气。
在沉积工艺的一个实施方式中,将沉积前驱物气体混合物的脉冲连同还原性气体一起与视情况的净化气体/载气混合物供应至处理腔室150。本文中使用的术语脉冲是指注入处理腔室中的材料剂量。沉积前驱物气体混合物的脉冲持续预定的时间间隔。在沉积前驱物气体混合物的每一脉冲与等离子体处理工艺之间,净化气体混合物可在沉积前驱物气体混合物的每一或多个脉冲之间被脉冲输送至处理腔室内以移除杂质或未反应的/未被基板402表面吸附的残余前驱物气体混合物(例如,来自钴前驱物或其他物质中的未反应的含碳杂质),这些杂质或残余前驱物气体混合物可由此被抽出处理腔室。
用于沉积前驱物气体混合物的脉冲的时间间隔可根据多个因素而变化,诸如膜厚度需求、处理腔室容积、产量考虑、气体流量和类似因素。在一个实施方式中,工艺条件经有利选择,以使沉积前驱物气体混合物的脉冲提供足够量之前驱物,以便使至少单层的钴金属前驱物吸附在基板402上。随后,残留在腔室中的过量钴金属前驱物可通过净化气体混合物而从处理腔室中被移除和抽出。
在一些实施方式中,可在单个脉冲中同时供应还原性气体混合物和沉积前驱物气体混合物以形成金属层420。在本文中所述的一个实施方式中,还原性气体的脉冲可在沉积前驱物气体混合物之前几次脉冲(诸如介于第一次至第五次脉冲之间)之后与沉积前驱物气体混合物共流动(co-flow)。
在方块510处的操作中,沉积前驱物气体混合物的第一脉冲被脉冲输送至处理腔室150内以将金属层420的一部分沉积在基板上。进入处理腔室150内的沉积前驱物气体混合物的每一脉冲可沉积厚度在约
Figure GDA0002078794950000211
与约
Figure GDA0002078794950000212
之间的金属层(例如钴层)。在沉积前驱物气体混合物的脉冲输送期间,亦调整数个工艺参数。在一个实施方式中,工艺压力被控制在约7托与约30托之间。处理温度处于约125摄氏度与约250摄氏度之间。对于等离子体增强工艺而言,射频功率可被控制在约100瓦与约1200瓦之间。在沉积前驱物气体混合物中供应的钴气体前驱物可被控制在约1sccm与约10sccm之间。可以约100sccm与约10000sccm之间的流量供应诸如氢气的还原性气体,诸如约3000sccm与约5000sccm之间。从基板边缘/基板底部供应的氢气可被控制在约200sccm与约1000sccm之间。可从基板边缘/基板底部以约200sccm与约1000sccm之间的流量供应氩气。
视情况,在方块510之后,可净化处理腔室。在脉冲输送沉积前驱物气体混合物之后,将净化气体混合物供应至处理腔室内以从处理腔室中清除残余物和杂质。在脉冲输送净化气体混合物期间,工艺压力可在诸如约1秒与约5秒之间的相对较短的时间间隔内被抽真空至某一较低水平,诸如低于2托,例如低于0.5托,以便协助从处理腔室中快速抽出残余物和杂质。在脉冲输送净化气体混合物期间,亦调整数个工艺参数。在一个实施方式中,工艺压力被控制在约0.1托与约2托之间,诸如0.1托与约1托之间,例如约0.1托与约0.6托之间。处理温度处于约125摄氏度与约250摄氏度之间。射频功率可被控制在约100瓦与约800瓦之间。在净化气体混合物中供应的氢气可被控制在约200sccm与约1000sccm之间。可以约200sccm与约1000sccm之间的流量供应氩气。
在方块530处,在方块510处将基板402暴露于沉积气体之后,或者在方块520处净化沉积腔室之后,将基板402暴露于等离子体处理工艺或退火工艺。等离子体处理工艺或退火工艺降低表面粗糙度,并改良金属层420的刚沉积部分的电阻率。
用于方块530中等离子体处理工艺的示例性等离子体形成气体包括氢气(H2)、氮气(N2)、氨气(NH3)及上述各者的组合。在等离子体处理工艺期间,亦调整数个工艺参数。在一个实施方式中,工艺压力被控制在约7托与约30托之间。处理温度在约125摄氏度与约250摄氏度之间。射频功率可被控制在约100瓦与约800瓦之间,例如约400瓦。可以约3000sccm与约5000sccm之间的流量供应诸如氢气的等离子体形成气体,例如约4000sccm。从基板边缘/基板底部供应的氢气可被控制在约200sccm与约1000sccm之间。可以约200sccm与约1000sccm之间的流量从基板边缘/基板底部供应氩气。
已表明,在沉积期间或沉积之后的等离子体处理有助于降低刚沉积膜的表面粗糙度并有助于减少刚沉积膜中的碳杂质。由此,H自由基的寿命,尤其是预期用于≤14nm的晶体管技术节点的狭窄(临界尺寸<15nm且深宽比>5)过孔和沟槽结构内部的H自由基寿命,是用于实现无缝和无空隙钴间隙填充的重要参数。在CVD工艺期间,腔室内部的H自由基的寿命可通过在使用感应耦合等离子体源、微波等离子体源或电子射束等离子体源的等离子体处理期间使惰性气体(诸如氦、氖、氩,等等)流动而得以改良。等离子体源可从美国应用材料公司或其他供应商处购得。
在一些实施方式中,方块530的退火工艺是氢净化工艺。在一些实施方式中,方块530的退火工艺的温度范围可在约50摄氏度与约1400摄氏度之间(例如,在约50摄氏度与500摄氏度之间;在约100摄氏度与约300摄氏度之间;在约300摄氏度与500摄氏度之间)。在热退火工艺期间,将包括至少一种含氢气体和/或一种惰性气体(例如氩气)的气体混合物供应至腔室内。可通过使用静态工艺或连续流动工艺将气体混合物供应至退火腔室,在所述静态工艺中,腔室在退火工艺之前充满气体;在所述连续流动工艺中,气体混合物在退火工艺期间连续流经腔室。
在一个实施方式中,当在方块530处退火工艺为氢净化工艺时,可通过以下步骤执行氢净化工艺:将包括含氢气体、惰性气体和含氮气体中至少一者的气体混合物以约1000sccm与约30000sccm之间的流量(例如在约5000sccm与15000sccm之间)供应至退火腔室内;控制约5托至约1000托(例如在约5托与约60托之间;在约20托与约40托之间)的腔室压力;维持约50摄氏度与约500摄氏度之间(例如在约100摄氏度与约300摄氏度之间;在约300摄氏度与500摄氏度之间)的温度范围,例如,在约100摄氏度与约300摄氏度之间。可在旋转基板的同时视情况执行方块530中的热退火工艺达约30秒与约600秒之间。用于供应至腔室中的气体混合物的气体的适合实例按需求可包括氢气、含氮气体、惰性气体(例如氩气)或其他气体中的至少一者。在一些实施方式中,当方块530的退火工艺包括氢净化工艺时,用于方块530的工艺的温度可与在方块510中用于沉积金属层的温度相同或类似。
在一些实施方式中,可在执行循环金属沉积工艺的同一处理腔室中原位执行热退火工艺。在一些实施方式中,若腔室具有将基板加热至处理所需的温度的能力,则可在同一腔室中执行金属层沉积和退火。在一些实施方式中,可在单独的处理腔室中执行热退火工艺。
已表明,碳杂质可通过氢净化/退火而减少,如通过电阻率降低所证实。
在方块530中将基板暴露于等离子体处理工艺或退火工艺之后,可在方块540中视情况地净化沉积腔室。可以与方块520中所述的净化工艺之类似方式执行方块540中的可选净化。
在方块550处,若尚未达到金属层420的预定厚度,则可重复执行额外循环直至达到金属层420的所需厚度范围,这些额外循环开始于将基板暴露于沉积前驱物气体混合物以及随后进行等离子体预处理工艺。若已达到金属层的预定厚度,则工艺前进至方块350,在方块350中,执行热退火工艺。
例如,若金属层的总厚度为10nm并且以2nm/循环来沉积金属层的所述部分,则将需要5个循环(在2nm沉积之后进行等离子体处理)。
在方块350处,在腔室中在基板402上执行热退火工艺以改良金属层420的性质。在一些实施方式中,可在与执行循环金属沉积工艺的同一处理腔室中原位执行热退火工艺。在一些实施方式中,可在单独的处理腔室中执行热退火工艺。热退火腔室按需求可为***200的处理腔室212、214、216、232、234、236、238中的一者。在一个实施方式中,在方块350处执行的热退火工艺的温度范围可在约200摄氏度与约1400摄氏度之间(例如,在约200摄氏度与约500摄氏度之间;在约300摄氏度与约500摄氏度之间)。在热退火工艺期间,将包括至少一种含氢气体和/或一种惰性气体(例如氩气)的气体混合物供应至退火腔室内。可通过使用静态工艺或连续流动工艺将气体混合物供应至退火腔室,在所述静态工艺中,腔室在退火工艺之前充满气体;在所述连续流动工艺中,气体混合物在退火工艺期间连续流经退火腔室。
在一个实施方式中,方块350处的热退火工艺可通过以下步骤执行:以约100sccm与约2000sccm之间的流量将包括含氢气体、惰性气体及含氮气体中至少一者的气体混合物供应至退火腔室内;控制约0.5托与约15托之间的腔室压力,例如在约5托与约8托之间;维持约150摄氏度与约500摄氏度之间的温度范围,例如约300摄氏度与约475摄氏度之间;和在旋转基板的同时视情况执行热退火工艺达约30秒至约600秒之间。用于供应至热退火腔室中的气体混合物的气体的适合实例按需求可包括氢气、含氮气体、惰性气体(例如氩气)或其他气体。在一个实施方式中,供应至处理腔室中以执行硅化工艺的气体混合物包括以约1:10与约1:1之间的流量比(诸如约1:3)供应的氢气(H2)。
可执行方块350的适合的热处理腔室的实例是可从美国应用材料公司购得的双模式脱气(DMD)腔室。适合的热处理腔室的其他实例是
Figure GDA0002078794950000241
VulcanTMRTP腔室和
Figure GDA0002078794950000242
AstraTMDSA腔室或分批炉工具(batch furnace tool)。应注意,退火工艺并非必须与金属层420沉积腔室整合。使用RTP和DSA退火可提供针对温度均匀性和快速温度变化的进一步控制。应注意,可从其他制造商处购得的其他热退火腔室亦可用以实践本公开内容。
在热退火工艺完成之后,在方块360处,若尚未达到金属层420的预定厚度,则可重复执行额外循环,直至达到金属层420的所需厚度范围,这些额外循环开始于在方块340处执行循环金属沉积以沉积金属层及随后在方块350处在金属层上执行退火工艺。若已达到金属层的预定厚度,则工艺完成,并且可执行额外处理步骤。
由此,根据前述实施方式,提供用于在特征结构界定中沉积金属层的方法。这些方法包括通过对刚沉积金属层进行退火来利用无缝金属层来填充特征结构界定。CVD钴膜的退火产生自下而上(bottom-up)的无缝间隙填充。在某些实施方式中,无需润湿层以进行钴的再流动。金属层(例如CVD钴层)的厚度可小于特征结构界定直径(临界尺寸)的50%。使用的循环工艺是利用较薄的钴膜沉积和短时退火的结合。短时退火期间的环境(ambience)降低所需的退火温度以达到无缝钴填充。空白晶片(blanket wafer)研究证实钴膜在退火处理之后电阻率减少50%。退火步骤期间的退火时间、温度、气氛(所使用的气体类型)、静态气压或气流的变化可用来降低粗糙度并改良金属层的电阻率。短暂退火时间(例如1分钟)足以降低钴电阻率和粗糙度。退火期间的气流进一步改良钴膜的电阻率。氩气及氢气或两者的组合可用于退火气氛。PVD钴可用于替代CVD钴。在CVD钴充当润湿层以用于PVD钴再流动的情况下亦可使用CVD和PVD的组合。
图7绘示根据本公开内容的一个实施方式在半导体装置的特征结构界定中形成金属层的流程图。图7中描述的工序对应于图8-9中绘示的制造阶段,这些制造阶段在下文中进行论述。图8-9图示在装置结构408的特征结构界定406a、406b内制造金属层420的不同阶段(由处理工序600所示)期间,在基板上形成装置结构408的基板402的示意性截面图。图7的工序一般参考CVD、ALD或PVD沉积钴金属层而提供。
处理工序600的某些方面类似于参照图3所述的处理工序300,且为了简洁起见,下文中将不再重述这些方面。在一个实施方式中,方块610和620类似于图3中绘示的方块310和320,如上所述。方块610和620分别对应于图8的(a)和(b)中绘示的制造阶段。对图8的(a)和(b)的详细论述可参照图4的(a)和(b)。然而,在方块620处在基板上执行预处理工艺是可选的。
方块630提供执行阻挡层沉积以在基板402上沉积阻挡层416,如图8的(c)所示。阻挡层通常含有金属或金属氮化物材料,诸如钛(Ti)、氮化钛(TiN)、这两者的合金或这两者的组合。阻挡层416亦可包括经等离子体氮化的(N2或NH3)钛及PVD钴。若阻挡层416包括经氮化的钛层,则仅顶部少数几埃的钛转换至氮化钛化合物。已发现,非氧化的钛及氮化钛阻挡层提供改良的扩散阻力。阻挡层416的厚度可处于约
Figure GDA0002078794950000251
至约
Figure GDA0002078794950000252
的范围内,更确切为从约
Figure GDA0002078794950000253
至约
Figure GDA0002078794950000254
的范围内的厚度,更确切为从约
Figure GDA0002078794950000255
至约
Figure GDA0002078794950000256
的范围内的厚度,更确切为从约
Figure GDA0002078794950000257
至约
Figure GDA0002078794950000258
的范围内的厚度,更确切为从约
Figure GDA0002078794950000259
至约
Figure GDA00020787949500002510
的范围内的厚度,更确切为从约
Figure GDA00020787949500002511
至约
Figure GDA00020787949500002512
的范围内的厚度,及更确切为从约
Figure GDA00020787949500002513
至约
Figure GDA00020787949500002514
的范围内的厚度。通常利用原子层沉积(ALD)、等离子体增强ALD(PE-ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)工艺来沉积阻挡层。
在一个实施方式中,执行阻挡层沉积包括ALD工艺,所述ALD工艺包括提供含钛前驱物,可在存在诸如惰性气体的载气的情况下将所述含钛前驱物提供至腔室。在另一实施方式中,可将含钛前驱物与含氮前驱物一起提供,以形成包括氮化钛的阻挡层。可在存在诸如惰性气体的载气的情况下提供含钛前驱物及含氮前驱物。在另一实施方式中,可在沉积的钛层上执行氮化工艺以形成氮化钛阻挡层。在另一实施方式中,通过PVD钛工艺来沉积钛阻挡层。
方块635提供执行润湿层沉积以在基板402上沉积润湿层718,如图9的(a)所示。润湿层718沉积在阻挡层416之上。润湿层一般通过选自PVD钴、CVD氮化钛、PVD氮化钛、CVD钌、PVD钌、PVD钛的氮化或上述各者的组合中的工艺而沉积。在使用CVD工艺以沉积润湿层718的实施方式中,所需之前驱物气体被提供至腔室并且可在存在载气的情况下被进一步提供。在使用PVD工艺以沉积润湿层718的实施方式中,提供包括所需的待沉积材料的靶材,并执行PVD工艺以沉积PVD润湿层。在一个实施方式中,润湿层包括PVD氮化钛。在此实施方式中,提供钛靶材,并使用离子轰击所述钛靶材以溅射钛以在阻挡层416之上沉积润湿层718。在存在等离子体的情况下,使用诸如NH3的含氮前驱物在PVD钛层上执行氮化工艺以形成氮化钛润湿层718。在此实施方式中,润湿层718包括经氮化的钛层,并且仅顶部少数几埃的钛转换至氮化钛化合物。在另一实施方式中,润湿层为PVD钴。在此实施方式中,提供钴靶材,并使用离子轰击所述钴靶材以溅射钴以在阻挡层416之上沉积润湿层718。在使用PVD钴的实施方式中,在从约5000瓦至约6000瓦的频率(例如约5500瓦)提供射频功率。从约400瓦至约600瓦提供PVD钴工艺的功率,例如500瓦,并且在执行PVD钴工艺时的腔室压力从约50毫托至约150毫托,例如约100毫托。
应已知,可在与执行随后的CVD钴沉积工艺的同一腔室(处于高真空下)中沉积钛或氮化钛的润湿层。在一替代性的实施方式中,CVD钴膜在退火期间的凝聚(agglomeration)涉及将(具有不同的膜性质的)CVD钴用作润湿层。与用于间隙填充目的的CVD钴膜的碳含量小于1%相比,此CVD钴润湿层包括大于5%的较高碳原子百分比。高含碳量CVD钴膜通过在沉积步骤期间使用较低氢气分压和通过消除循环氢气等离子体处理而获得。
应注意,前述润湿层718工艺中的任何一者可与方块640中提供的随后的金属层沉积工艺一起执行。润湿层718及阻挡层416通常增强随后的金属层沉积。已发现,空隙可在基板上的特征结构界定底部形成,或在特征结构界定中的其他位置形成。空隙被视作在金属层退火时因金属层的凝聚或积聚而形成。空隙通常是不合乎需要的,因为在基板与金属层之间的空隙最终降低金属层品质及对整体装置性能产生负面影响。此外,在退火工艺期间,金属层与下层基板之间的相互扩散导致钴与硅相互扩散。相互扩散对装置性能产生负面影响,并导致不可预测的装置行为。阻挡层416单独或与润湿层718结合降低钴与硅的相互扩散。此外,当沉积金属层以填充装置的过孔和沟槽时,所述润湿层单独或与阻挡层416结合通过降低随后的退火工艺期间发生凝聚的几率而增强金属层的粘着性。
在一替代的实施方式中,CVD钴膜在退火工艺期间的凝聚可使用CVD钴作为润湿层。与用于无缝间隙填充的CVD钴膜的较低含碳量(原子百分比小于1%)相比,此CVD钴润湿层可包括高含碳量(原子百分比大于5%)。高含碳量CVD钴膜通过在沉积步骤期间使用较低氢气分压和通过消除循环氢气等离子体处理而获得。
方块640提供执行循环金属沉积以在基板上沉积金属层。可在上文针对图3中的方块340和与图6相关的对应描述找到循环金属沉积工艺的工艺参数和描述。方块650提供在设置在基板上的金属层上执行退火工艺。可参照图3中的方块350得到执行退火工艺的工艺参数和描述。.
在热退火工艺完成之后,在方块660处,若尚未达到金属层420的预定厚度,则可重复执行额外循环,直至达到金属层420的所需厚度范围,这些额外循环开始于在方块640处执行循环金属沉积以沉积金属层和随后在方块650处在金属层上执行退火工艺。若已达到金属层的预定厚度,则工艺完成,并且可执行额外的处理步骤。
如上所述,图7中描述的处理工序600可通过参照CVD、ALD或PVD金属沉积工艺而获得。整合(非氧化)的CVD或ALD氮化钛阻挡层减少装置特征结构界定的底部处的空隙的存在。可在润湿层718沉积之后引入真空破除(vacuum break),或在执行方块650的退火工艺之前且在金属层420沉积之后引入真空破除。应注意,方块650的退火工艺可在不同于沉积金属层420的腔室以外的腔室中执行。而且发现,如方块640(参见图6以了解相关的等离子体处理参数)处提供的高频氢气等离子体处理(在
Figure GDA0002078794950000281
或更少的CVD钴厚度处进行等离子体处理)在消除装置特征结构界定的底部处的空隙形成方面起重要作用。最终,已发现可通过由处理工序600中提供的前述工艺变量来控制杂质(亦即碳、氧、氮等等)的原子百分数而调整CVD或ALD金属层的再流动特性。1%或更低的碳杂质含量可较佳用于实现无缝金属层间隙填充,更具体而言,用于实现无缝钴间隙填充。除金属层沉积的工艺变量之外,可通过阻挡层416和润湿层718进一步控制杂质含量。
图10绘示根据本公开内容的一个实施方式的在半导体装置的特征结构界定中形成金属层的流程图。图10中描述的工序对应于图8-9中绘示的制造阶段,这些制造阶段在下文中进行论述。图8-9图示在装置结构408的特征结构界定406a、406b内制造金属层420的不同阶段(由处理工序800所示)期间,在基板上形成装置结构408的基板402的示意性截面图。图10的工序一般参考PVD沉积钴金属层而提供。
处理工序800开始于方块810处,在方块810处提供基板。有关方块810的详细描述可参照与图3中的方块310和图7中的方块610相关的描述。方块820提供视情况地在基板上执行预处理工艺。有关方块820的详细描述可参照与图3中的方块320和图7中的方块620相关的描述。
方块830提供执行阻挡层沉积以在特征结构界定中沉积阻挡层。关于阻挡层416的一般描述可参照图7中的方块630。在一个实施方式中,在基板上设置诸如氮化钛阻挡层的阻挡层416。在此实施方式中,提供厚度为约
Figure GDA0002078794950000282
与约
Figure GDA0002078794950000283
之间的氮化钛层,诸如约
Figure GDA0002078794950000284
然而,已表明,
Figure GDA0002078794950000285
氮化钛层可足以作为阻挡层。氮化钛阻挡层通过先前沉积的钛层的NH3或N2氮化而形成,或通过CVD沉积工艺而形成。用于氮化钛阻挡层的沉积的处理参数可参照图7中的方块630。
方块835提供执行润湿层沉积以在阻挡层上沉积润湿层。关于润湿层718的一般描述可参照图7中的方块635。在一个实施方式中,通过CVD或ALD工艺来沉积润湿层718。用于提供润湿层718的适合工艺包括CVD氮化钛、CVD钴、CVD钌、ALD氮化钽和上述各者的组合。在一个实施方式中,可通过CVD钴工艺来沉积润湿层。将CVD工艺期间通过含钴前驱物沉积的钴提供至处理腔室中,所述含钴前驱物诸如通过参照图3中提供的循环金属沉积工艺而论述的含钴前驱物。在一个实施方式中,在热沉积工艺中将含钴前驱物提供至腔室。热沉积工艺通常包括加热基板402以促进钴在基板402表面上的沉积。在一个实施方式中,热沉积工艺提供用于将基板从约100摄氏度加热至约200摄氏度,诸如约150摄氏度。在此实施方式中,在CVD钴工艺期间沉积的钴是设置在阻挡层416之上的润湿层718。
方块840提供在润湿层718上执行退火工艺。退火工艺通常被执行以降低润湿层718的表面粗糙度,提高晶体结构的颗粒尺寸(grain size),和减少可能存在于润湿层718中的诸如碳之类的杂质。退火工艺在约200摄氏度至约500摄氏度之间的温度(诸如约400摄氏度)执行。可在腔室中提供诸如氩气的惰性气体的腔室环境中执行退火工艺。在一个实施方式中,氩气在腔室内是静态的,并且在执行润湿层718的退火之后可视情况净化腔室。在一个实施方式中,执行退火工艺达约10秒至约1000秒之间,诸如约30秒至约90秒之间,诸如约60秒。在另一实施方式中,可在以静态或流动方式向腔室提供氢气的腔室环境中执行退火工艺。在此实施方式中,可执行退火工艺达约10秒至约1000秒之间。在其他实施方式中,可利用氩气和氢气执行退火工艺。
方块850提供执行金属沉积工艺以用于在基板上沉积金属层420。在一个实施方式中,可通过PVD钴工艺来沉积金属层420。PVD钴工艺可进一步为热PVD钴工艺。通过使用传统的工艺来溅射钴,且在一个实施方式中,在存在诸如氩气或氢气的工艺气体的情况下执行溅射工艺。在一个实施方式中,可通过在从约5000瓦至约6000瓦的频率(诸如约5500瓦)下提供射频功率来执行PVD钴工艺。可在约250瓦与约750瓦之间(诸如约500瓦)的功率以直流方式提供射频。PVD钴工艺期间的腔室压力可维持在约50毫托与约200毫托之间的压力下,诸如约100毫托。一旦钴已溅射至基板,则可通过向基板提供热以使已沉积的钴再流动来使钴再流动。在一个实施方式中,可通过将基板加热至约200摄氏度至约500摄氏度之间的温度来执行PVD钴再流动。在使用PVD钴工艺的实施方式中,若腔室具有将基板加热至处理所需温度的能力,则可在同一腔室内执行金属层420沉积和退火。
方块860提供将金属层420暴露于等离子体处理工艺或退火工艺。等离子体处理工艺通常包括将诸如H2的工艺气体提供至腔室,并应用射频电流以使工艺气体形成等离子体。在一个实施方式中,提供约200瓦与约800瓦之间(诸如约400瓦)的射频电流频率。执行等离子体处理工艺达约1秒至约60秒,诸如约30秒。在一个实施方式中,基板402可被加热至约100摄氏度至约200摄氏度之间(诸如约150摄氏度)的温度,以进一步降低金属层420的表面粗糙度并降低可能存在于金属层420中的杂质的百分比。
方块860的退火工艺可与方块530中描述的氢净化退火工艺相同或类似。
方块870提供在设置在特征结构界定406a、406b中的金属层420上执行退火工艺。退火工艺通常被执行以降低金属层420的表面粗糙度,并减少可能存在于金属层420中的诸如碳之类的杂质。此外,退火工艺增大晶粒尺寸,这样使电阻率降低,从而改良集成电路性能。退火工艺在约200摄氏度至约500摄氏度之间的温度(诸如约400摄氏度)执行。可在腔室中提供有诸如氩气的惰性气体和诸如氢气的工艺气体的腔室环境中进一步执行退火工艺。在一个实施方式中,氩气和氢气在腔室内流动,并且在执行金属层420的退火之后可视情况净化腔室。在一个实施方式中,可执行退火工艺达约30秒与约90秒之间,诸如约60秒。
在上文中的实施方式中,若钴沉积和退火工艺在为基板提供加热的腔室中执行,则可执行PVD钴工艺而无需使用循环金属沉积工艺。在一替代性的实施方式中,PVD钴层可沉积在特征结构界定底部并可在特征结构界定侧壁上被蚀刻和重新溅射,以在侧壁上提供连续的钴膜,从而允许PVD钴从场中再流动至特征结构界定的底部。执行金属层420沉积以获得随后的金属层420化学机械抛光所需的足够的膜厚度。
在另一实施方式中,润湿层718包括CVD钴并且金属层420包括钨(W)。此实施方式通常用于双镶嵌型结构,所述双镶嵌型结构的特征结构界定的下半部分展现出较小临界尺寸和具挑战性的(aggressive)深宽比。与下半部分相比,双镶嵌型结构的上半部分通常具有较大临界尺寸和难度较低的深宽比。在此实施方式中,可利用如上所述的CVD钴工艺填充存在额外的金属层沉积挑战的下半部分。CVD钴工艺填充特征结构界定的下半部分。在CVD钴沉积之后,可执行CVD钨工艺以填充特征结构界定的剩余部分。CVD钨工艺通常以比CVD钴工艺更快的速率沉积材料,由此能够提高产量。
图11绘示基板的截面图,所述基板包含用作保形栅极电极950并根据本文所述的某些实施方式而沉积的金属层,所述基板可用于逻辑应用中。此外,图11的半导体结构可用于具有栅极结构的平面和三维晶体管中。具有栅极结构的三维晶体管的实例包括FinFET(基于之前的DELTA(单栅极)晶体管设计,建造在绝缘体上硅技术基板上的非平面双栅极晶体管)或三栅极晶体管结构。
在一个实施方式中,本文所述的金属层可用于金属栅极结构。例如,图11绘示具有含有金属的栅极电极950的半导体结构,所述半导体结构可用于逻辑应用中,所示栅极电极950包含通过本文所述的方法沉积的金属层。初始特征结构界定955形成于先前沉积在基板上的高k介电材料960中。
然后,功函数材料层970保形地沉积在形成于高k介电材料960中的特征结构界定中。然后,金属栅极填充材料980形成于功函数材料层970上并填充特征结构界定955。
金属栅极填充材料用来完成栅极电极950,如图11所示。功函数材料层970和金属栅极填充材料980根据栅极电极950所需的个别导电性而可为相同或不同的材料。若使用不同于功函数材料的金属栅极填充材料,则金属栅极填充材料可包括诸如金属或金属合金的导电材料。用作金属栅极填充材料的金属或金属合金的实例包括来自以下组的材料:钨、铝、铜、钴和上述各者的组合,和钨、铝、铜、钴的合金和上述合金的组合。
若使用与功函数材料相同或实质相同的金属栅极填充材料,则金属栅极填充材料980可包括本文所述的金属层并且可通过本文所述的工艺沉积。或者,功函数材料层970和金属栅极填充材料980可为均选自本文所述的金属层、金属碳化物、金属碳化硅化物,或金属碳化氮化物材料的不同材料。例如,高k介电常数材料可为氧化铪,功函数材料层970可为碳化铪,且栅极填充材料可为碳化钽。栅极填充材料应具有与功函数材料层相同或较低的电阻率。
在金属栅极填充材料980沉积之前,可视情况沉积润湿层。润湿层可为选自以下组的金属材料:钴、钽、钛和上述各者的组合。或者,可在功函数材料层之前或之后沉积阻挡层,所述阻挡层亦可作为润湿层结合使用,或作为润湿层起作用。阻挡层可包括用于填充材料的任何适合的阻挡层,亦即钨、铜和铝,并且阻挡层可为选自以下组的含有金属的材料:氮化钽、氮化钛、氮化钨和上述各者的组合。在功函数材料层970之前沉积的阻挡层在层965中由虚线示出。在功函数材料层970之后但在金属栅极填充材料980之前沉积的阻挡层和/或润湿层在层975中由虚线示出。阻挡层和/或润湿层中的每一者可沉积至
Figure GDA0002078794950000321
或更小的厚度,诸如从约
Figure GDA0002078794950000322
至约
Figure GDA0002078794950000323
图12绘示CMOS结构1000的截面图,所述CMOS结构具有根据本文所述的某些实施方式形成的NMOS和PMOS方面。CMOS结构1000可包括基板1002,所述基板1002之上沉积有外延层1004。在外延层1004内,可形成p阱(p-well)1006和n阱1008。在p阱1006之上,可形成NMOS结构1018。NMOS结构1018可包括源极电极1010a、漏极电极1010b、高k介电层1012、覆盖层(capping layer)1014和栅极电极1016。同样,PMOS结构1028可形成于n阱1008之上。PMOS结构1028可包括源极电极1020a、漏极电极1020b、高k介电层1022、覆盖层1024和栅极电极1026。NMOS结构1018可通过隔离区1030与PMOS结构1028隔离。
覆盖层1014、1024可存在于高k介电层1012、1022与栅极电极1016、1026之间,以防止栅极电极1016、1026与高k介电层1012、1022发生反应。覆盖层1014、1024可调谐阈值电压。在一个实施方式中,在NMOS结构1018中的覆盖层1014不同于PMOS结构1028中的覆盖层层1024。对于NMOS结构1018而言,高k介电层1012可为氧化铪,且栅极电极1016可包括根据本文所述的实施方式沉积的金属。
尽管前述内容是针对本公开内容的实施方式,但可在不背离本公开内容的基本范围的情况下,设计出本公开内容的其他和进一步的实施方式,并且本公开内容的范围由以下要求保护的范围所确定。

Claims (20)

1.一种用于沉积钴金属层以形成半导体装置的方法,所述方法包括以下步骤:
执行循环金属沉积工艺以在形成于基板中的特征结构界定中沉积钴金属层,包括以下步骤:
将所述基板暴露于沉积前驱物气体混合物以在所述特征结构界定中沉积所述钴金属层的一部分;
将所述钴金属层的所述部分暴露于等离子体处理工艺或氢退火工艺;和
重复将所述基板暴露于沉积前驱物气体混合物的所述步骤和将所述钴金属层的所述部分暴露于等离子体处理工艺或氢退火工艺的所述步骤,直至达到所述钴金属层的预定厚度;和
利用钴金属来填充所述特征结构界定。
2.如权利要求1所述的方法,其中所述基板至少包括高k介电层,所述特征结构界定形成在所述高k介电层中,其中所述钴金属层填充在形成于所述高k介电层中的所述特征结构界定中。
3.如权利要求1所述的方法,其中所述氢退火工艺包括在为所述钴金属层提供热能的同时供应气体混合物,所述气体混合物包括惰性气体和氢气(H2)中的至少一者。
4.如权利要求3所述的方法,其中在同一处理腔室中原位执行将所述基板暴露于沉积前驱物气体混合物以在所述特征结构界定中沉积所述钴金属层的一部分的所述步骤和将所述钴金属层的所述部分暴露于等离子体处理工艺或氢退火工艺的所述步骤。
5.如权利要求3所述的方法,其中所述氢退火工艺是在从约300摄氏度与约500摄氏度之间的温度,利用从约5托至约60托的氢压且以从约1000sccm与约30000sccm之间的氢流量执行的氢净化工艺。
6.如权利要求1所述的方法,其中同时执行将所述基板暴露于沉积前驱物气体混合物以在所述特征结构界定中沉积所述钴金属层的一部分的所述步骤和将所述钴金属层的所述部分暴露于等离子体处理工艺或氢退火工艺的所述步骤。
7.如权利要求1所述的方法,其中将所述钴金属层的所述部分暴露于等离子体处理工艺的所述步骤包括供应选自氢气(H2)、氮气(N2)、氨气(NH3)和它们的组合中的气体以降低所述钴金属层的所述部分的粗糙度。
8.如权利要求1所述的方法,其中所述特征结构界定选自过孔、沟槽、接线和接触孔。
9.如权利要求1所述的方法,其中所述沉积前驱物气体混合物包括含钴前驱物和还原性气体。
10.一种用于沉积金属层以形成半导体装置的方法,所述方法包括以下步骤:
在形成于基板中的特征结构界定中沉积阻挡层;
执行循环金属沉积工艺以在形成于基板中的所述特征结构界定中沉积含钴层,包括以下步骤:
将所述基板暴露于沉积前驱物气体混合物以在所述特征结构界定中沉积所述含钴层的一部分;
将所述含钴层的所述部分暴露于等离子体处理工艺或氢退火工艺;
重复将所述基板暴露于沉积前驱物气体混合物的所述步骤和将所述含钴层的所述部分暴露于等离子体处理工艺或氢退火工艺的所述步骤,直至达到所述含钴层的预定厚度;和
利用钴金属来填充所述特征结构界定。
11.如权利要求10所述的方法,其中沉积所述阻挡层包括沉积非氧化的钛或氮化钛层、化学气相沉积(CVD)钴层或物理气相沉积(PVD)钴层。
12.如权利要求10所述的方法,其中所述基板至少包括高k介电层,所述特征结构界定形成在所述高k介电层中,其中所述含钴层填充在形成于所述高k介电层中的所述特征结构界定中。
13.如权利要求10所述的方法,其中所述氢退火工艺包括在向所述含钴层提供热能的同时供应气体混合物,所述气体混合物包括惰性气体和氢气(H2)中的至少一者。
14.如权利要求13所述的方法,其中在同一处理腔室中原位执行将所述基板暴露于沉积前驱物气体混合物以在所述特征结构界定中沉积所述含钴层的一部分的所述步骤和将所述含钴层的所述部分暴露于等离子体处理工艺或氢退火工艺的所述步骤。
15.如权利要求10所述的方法,其中同时执行将所述基板暴露于沉积前驱物气体混合物以在所述特征结构界定中沉积所述含钴层的一部分的所述步骤和将所述含钴层的所述部分暴露于等离子体处理工艺或氢退火工艺的所述步骤。
16.如权利要求10所述的方法,其中所述阻挡层是TiN阻挡层并且被沉积至约
Figure FDA0002942831370000031
至约
Figure FDA0002942831370000032
之间的厚度。
17.一种用于沉积钴金属层以形成半导体装置的方法,所述方法包括以下步骤:
执行阻挡层沉积工艺以在形成于基板中的特征结构界定中沉积阻挡层;
执行润湿层沉积工艺以在所述阻挡层上沉积润湿层;
在所述润湿层上执行退火工艺;
通过将所述润湿层暴露于沉积前驱物气体混合物以沉积所述钴金属层的一部分来执行金属沉积工艺以在所述润湿层上沉积钴金属层;
将所述钴金属层的所述部分暴露于等离子体处理工艺或氢退火工艺;和
利用钴金属来填充所述特征结构界定。
18.如权利要求17所述的方法,进一步包括将设置在所述基板上的所述钴金属层退火。
19.如权利要求17所述的方法,其中执行润湿层沉积包括沉积非氧化的钛或氮化钛层、化学气相沉积(CVD)钴层或物理气相沉积(PVD)钴层。
20.如权利要求17所述的方法,其中执行金属沉积工艺包括沉积物理气相沉积(PVD)钴层、化学气相沉积(CVD)钴层或CVD钨层。
CN201910069988.XA 2013-09-27 2014-09-10 实现无缝钴间隙填充的方法 Active CN110066984B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361883480P 2013-09-27 2013-09-27
US61/883,480 2013-09-27
CN201480049063.4A CN105518827B (zh) 2013-09-27 2014-09-10 实现无缝钴间隙填充的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480049063.4A Division CN105518827B (zh) 2013-09-27 2014-09-10 实现无缝钴间隙填充的方法

Publications (2)

Publication Number Publication Date
CN110066984A CN110066984A (zh) 2019-07-30
CN110066984B true CN110066984B (zh) 2021-06-08

Family

ID=52740569

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910069988.XA Active CN110066984B (zh) 2013-09-27 2014-09-10 实现无缝钴间隙填充的方法
CN201480049063.4A Active CN105518827B (zh) 2013-09-27 2014-09-10 实现无缝钴间隙填充的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201480049063.4A Active CN105518827B (zh) 2013-09-27 2014-09-10 实现无缝钴间隙填充的方法

Country Status (6)

Country Link
US (2) US9685371B2 (zh)
JP (2) JP6488284B2 (zh)
KR (3) KR102271202B1 (zh)
CN (2) CN110066984B (zh)
TW (3) TWI720422B (zh)
WO (1) WO2015047731A1 (zh)

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9385033B2 (en) * 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
KR102398920B1 (ko) * 2014-04-07 2022-05-17 엔테그리스, 아이엔씨. 코발트 cvd
US9331073B2 (en) * 2014-09-26 2016-05-03 International Business Machines Corporation Epitaxially grown quantum well finFETs for enhanced pFET performance
US9601430B2 (en) 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20180130707A1 (en) * 2015-06-18 2018-05-10 Intel Corporation Bottom-up fill (buf) of metal features for semiconductor structures
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9589897B1 (en) 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106653678A (zh) * 2015-11-03 2017-05-10 中芯国际集成电路制造(上海)有限公司 导电插塞结构及其形成方法
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10396012B2 (en) * 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9786605B1 (en) * 2016-05-27 2017-10-10 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR102353795B1 (ko) * 2016-07-25 2022-01-19 도쿄엘렉트론가부시키가이샤 단층막 중재 정밀 재료 에칭
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018063406A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Microelectronic devices and methods for enhancing interconnect reliability performance using tungsten containing adhesion layers to enable cobalt interconnects
KR20230026514A (ko) * 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10177030B2 (en) 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
TWI809712B (zh) * 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102399497B1 (ko) 2017-05-29 2022-05-19 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10535527B2 (en) * 2017-07-13 2020-01-14 Applied Materials, Inc. Methods for depositing semiconductor films
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10741668B2 (en) 2017-07-19 2020-08-11 Globalfoundries Inc. Short channel and long channel devices
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US20190067003A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
KR102227347B1 (ko) * 2017-09-05 2021-03-11 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 구조들에서의 고종횡비 홀 형성에 대한 상향식 접근법
US10304732B2 (en) 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10672649B2 (en) * 2017-11-08 2020-06-02 International Business Machines Corporation Advanced BEOL interconnect architecture
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10170322B1 (en) * 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10566435B2 (en) * 2018-04-06 2020-02-18 International Business Machines Corporation Gate stack quality for gate-all-around field-effect transistors
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11421318B2 (en) 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7443250B2 (ja) * 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド 原子層自己整合基板の処理及び統合型ツールセット
JP7066178B2 (ja) * 2018-06-01 2022-05-13 国立大学法人東海国立大学機構 Iii族窒化物半導体素子の製造装置および製造方法ならびに半導体ウエハの製造方法
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10411091B1 (en) 2018-07-13 2019-09-10 Qualcomm Incorporated Integrated circuits employing a field gate(s) without dielectric layers and/or work function metal layers for reduced gate layout parasitic resistance, and related methods
US11965236B2 (en) 2018-07-17 2024-04-23 Applied Materials, Inc. Method of forming nickel silicide materials
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7164349B2 (ja) * 2018-07-31 2022-11-01 株式会社アルバック Co膜製造方法
CN112513320A (zh) 2018-08-02 2021-03-16 盖列斯特科技股份有限公司 通过控制气相瞬态物种形成的薄膜沉积工艺
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10903112B2 (en) * 2018-10-18 2021-01-26 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US11631680B2 (en) 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US11587791B2 (en) * 2018-10-23 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon intermixing layer for blocking diffusion
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210078555A (ko) * 2018-10-26 2021-06-28 에바텍 아크티엔게젤샤프트 압전 코팅을 위한 증착 공정
US10971398B2 (en) 2018-10-26 2021-04-06 International Business Machines Corporation Cobalt interconnect structure including noble metal layer
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10790287B2 (en) 2018-11-29 2020-09-29 Applied Materials, Inc. Reducing gate induced drain leakage in DRAM wordline
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7277585B2 (ja) * 2018-12-21 2023-05-19 アプライド マテリアルズ インコーポレイテッド 処理システム及び接点を形成する方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11171141B2 (en) 2019-03-04 2021-11-09 Applied Materials, Inc. Gap fill methods of forming buried word lines in DRAM without forming bottom voids
JP2020150214A (ja) * 2019-03-15 2020-09-17 キオクシア株式会社 半導体装置およびその製造方法
KR20200124351A (ko) * 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
US11410880B2 (en) * 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
WO2021080726A1 (en) 2019-10-21 2021-04-29 Applied Materials, Inc. Method of depositing layers
JP2023502095A (ja) * 2019-11-21 2023-01-20 アプライド マテリアルズ インコーポレイテッド ダイナミックランダムアクセスメモリのビットラインメタルを平滑化する方法及び装置
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11183455B2 (en) 2020-04-15 2021-11-23 International Business Machines Corporation Interconnects with enlarged contact area
US11410881B2 (en) 2020-06-28 2022-08-09 Applied Materials, Inc. Impurity removal in doped ALD tantalum nitride
US11456171B2 (en) * 2020-11-20 2022-09-27 Applied Materials, Inc. Deep trench integration processes and devices
CN113078102B (zh) * 2021-03-24 2022-04-29 长鑫存储技术有限公司 半导体结构的制备方法
CN115332248A (zh) * 2021-05-11 2022-11-11 联华电子股份有限公司 形成半导体元件的方法
US20230109501A1 (en) * 2021-09-28 2023-04-06 Applied Materials, Inc. Tungsten gapfill using molybdenum co-flow
US20240088071A1 (en) * 2022-09-14 2024-03-14 Applied Materials, Inc. Methods for forming metal gapfill with low resistivity

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1853263A (zh) * 2003-09-22 2006-10-25 英特尔公司 导电凸起的设计和方法
US20070178692A1 (en) * 2001-10-18 2007-08-02 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation
US20080012134A1 (en) * 2006-06-28 2008-01-17 Samsung Electronics Co., Ltd. Metal interconnection structures and methods of forming the same
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20110163394A1 (en) * 2010-01-06 2011-07-07 Samsung Electronics Co., Ltd. Semiconductor contact structure and method of fabricating the same
US20120267785A1 (en) * 2011-03-18 2012-10-25 Hyeok-Sang Oh Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
CN102903741A (zh) * 2011-07-28 2013-01-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN102969276A (zh) * 2012-12-14 2013-03-13 复旦大学 半导体器件及其制备方法
US20130069227A1 (en) * 2011-09-21 2013-03-21 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Protection and Support Structure for Conductive Interconnect Structure
CN103000579A (zh) * 2012-12-14 2013-03-27 复旦大学 一种半导体器件及其制备方法
CN103094184A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 一种铜互连结构的制造方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
JP3111466B2 (ja) * 1990-09-07 2000-11-20 セイコーエプソン株式会社 メッキ配線層を備えた半導体装置の製造方法
US5918149A (en) * 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
KR100279300B1 (ko) * 1998-05-11 2001-02-01 윤종용 금속 배선 연결 방법
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
JP4448582B2 (ja) * 1999-11-09 2010-04-14 株式会社アルバック タンタル−炭素系薄膜の形成方法
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
JP3851752B2 (ja) 2000-03-27 2006-11-29 株式会社東芝 半導体装置の製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6632478B2 (en) * 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
JP4626795B2 (ja) * 2002-09-12 2011-02-09 株式会社 液晶先端技術開発センター 金属配線のための積層構造及びその形成方法
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7148548B2 (en) 2004-07-20 2006-12-12 Intel Corporation Semiconductor device with a high-k gate dielectric and a metal gate electrode
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
GB0428090D0 (en) 2004-12-22 2005-01-26 Unilever Plc Fabric treatment device
KR100804392B1 (ko) * 2005-12-02 2008-02-15 주식회사 네패스 반도체 패키지 및 그 제조 방법
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080124698A1 (en) 2006-11-28 2008-05-29 Ebensberger Jason M Virtual coatings application system with structured training and remote instructor capabilities
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080296768A1 (en) * 2006-12-14 2008-12-04 Chebiam Ramanan V Copper nucleation in interconnects having ruthenium layers
US7851360B2 (en) * 2007-02-14 2010-12-14 Intel Corporation Organometallic precursors for seed/barrier processes and methods thereof
US20100200991A1 (en) * 2007-03-15 2010-08-12 Rohan Akolkar Dopant Enhanced Interconnect
US20090022958A1 (en) * 2007-07-19 2009-01-22 Plombon John J Amorphous metal-metalloid alloy barrier layer for ic devices
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8519541B2 (en) 2008-08-14 2013-08-27 Macronix International Co., Ltd. Semiconductor device having plural conductive layers disposed within dielectric layer
JP2010212452A (ja) 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
JP5487748B2 (ja) * 2009-06-16 2014-05-07 東京エレクトロン株式会社 バリヤ層、成膜方法及び処理システム
WO2011027834A1 (ja) 2009-09-02 2011-03-10 株式会社アルバック Co膜の形成方法及びCu配線膜の形成方法
JP2011134910A (ja) * 2009-12-24 2011-07-07 Rohm Co Ltd SiC電界効果トランジスタ
US8691687B2 (en) * 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
WO2011111453A1 (ja) 2010-03-09 2011-09-15 日本電信電話株式会社 符号化方法、復号方法、装置、プログラム及び記録媒体
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
JP5680892B2 (ja) * 2010-07-13 2015-03-04 株式会社アルバック Co膜形成方法
US8661664B2 (en) 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
US8357599B2 (en) * 2011-02-10 2013-01-22 Applied Materials, Inc. Seed layer passivation
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
WO2012173067A1 (ja) * 2011-06-16 2012-12-20 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体装置の製造装置及び記憶媒体
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5390654B2 (ja) 2012-03-08 2014-01-15 株式会社東芝 半導体装置の製造方法
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070178692A1 (en) * 2001-10-18 2007-08-02 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation
CN1853263A (zh) * 2003-09-22 2006-10-25 英特尔公司 导电凸起的设计和方法
US20080012134A1 (en) * 2006-06-28 2008-01-17 Samsung Electronics Co., Ltd. Metal interconnection structures and methods of forming the same
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20110163394A1 (en) * 2010-01-06 2011-07-07 Samsung Electronics Co., Ltd. Semiconductor contact structure and method of fabricating the same
US20120267785A1 (en) * 2011-03-18 2012-10-25 Hyeok-Sang Oh Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
CN102903741A (zh) * 2011-07-28 2013-01-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US20130069227A1 (en) * 2011-09-21 2013-03-21 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Protection and Support Structure for Conductive Interconnect Structure
CN103094184A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 一种铜互连结构的制造方法
CN102969276A (zh) * 2012-12-14 2013-03-13 复旦大学 半导体器件及其制备方法
CN103000579A (zh) * 2012-12-14 2013-03-27 复旦大学 一种半导体器件及其制备方法

Also Published As

Publication number Publication date
KR20210080613A (ko) 2021-06-30
JP6488284B2 (ja) 2019-03-20
TW201935569A (zh) 2019-09-01
CN110066984A (zh) 2019-07-30
US20150093891A1 (en) 2015-04-02
WO2015047731A1 (en) 2015-04-02
TWI720422B (zh) 2021-03-01
JP2016540368A (ja) 2016-12-22
TWI660429B (zh) 2019-05-21
KR20190102096A (ko) 2019-09-02
KR102263554B1 (ko) 2021-06-09
KR102403706B1 (ko) 2022-05-30
KR102271202B1 (ko) 2021-06-30
KR20160063378A (ko) 2016-06-03
TW201515109A (zh) 2015-04-16
CN105518827B (zh) 2019-06-14
CN105518827A (zh) 2016-04-20
JP6727359B2 (ja) 2020-07-22
TW201903902A (zh) 2019-01-16
US20170084486A1 (en) 2017-03-23
US10699946B2 (en) 2020-06-30
TWI633604B (zh) 2018-08-21
JP2019110320A (ja) 2019-07-04
US9685371B2 (en) 2017-06-20

Similar Documents

Publication Publication Date Title
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
JP6962955B2 (ja) シームレスのコバルト間隙充填を可能にする方法
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant