CN110034021A - 蚀刻方法和蚀刻装置 - Google Patents

蚀刻方法和蚀刻装置 Download PDF

Info

Publication number
CN110034021A
CN110034021A CN201910026345.7A CN201910026345A CN110034021A CN 110034021 A CN110034021 A CN 110034021A CN 201910026345 A CN201910026345 A CN 201910026345A CN 110034021 A CN110034021 A CN 110034021A
Authority
CN
China
Prior art keywords
etching step
etching
processing gas
film
halogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910026345.7A
Other languages
English (en)
Other versions
CN110034021B (zh
Inventor
寺嶋亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN110034021A publication Critical patent/CN110034021A/zh
Application granted granted Critical
Publication of CN110034021B publication Critical patent/CN110034021B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明提供一种蚀刻方法,其包括第一蚀刻步骤和第二蚀刻步骤。第一蚀刻步骤中,对于形成有含硅膜且在该含硅膜的表面形成有光致抗蚀剂的、配置在处理容器内的被处理体,以光致抗蚀剂作为掩模来蚀刻含硅膜。第二蚀刻步骤中,向处理容器内供给含有氧和卤素的第一处理气体,或者在供给了含有卤素的第二处理气体之后供给含有氧的第三处理气体。蚀刻方法反复执行从第一蚀刻步骤至第二蚀刻步骤的流程。根据本发明的蚀刻方法,能够降低台阶形状的截面部分的粗糙度。

Description

蚀刻方法和蚀刻装置
技术领域
本发明公开的实施方式涉及蚀刻方法和蚀刻装置。
背景技术
在制造3D NAND闪存等的三维叠层半导体存储器中,具有使用等离子体将多层膜蚀刻成台阶形状的工序。在将多层膜蚀刻成台阶形状的工序中,交替地进行表面形成有掩模的多层膜的蚀刻和多层膜上的掩模的修整(trimming)。在掩模的修整中,为了利用多层膜的叠层结构形成台阶形状,提高掩模材料的水平方向的蚀刻与铅直方向的蚀刻的比例是重要的。
现有技术文献
专利文献
专利文献1:国际公开第2013/121936号。
发明内容
发明要解决的技术问题
在现有的台阶形状的蚀刻中,台阶形状的截面部分的粗糙度(roughness)会变大。
用于解决问题的技术手段
本发明一实施方式的蚀刻方法包括第一蚀刻步骤和第二蚀刻步骤。第一蚀刻步骤中,对于形成有含硅膜且在该含硅膜的表面形成有光致抗蚀剂的、配置在处理容器内的被处理体,以光致抗蚀剂作为掩模来蚀刻含硅膜。第二蚀刻步骤中,向处理容器内供给含有氧和卤素的第一处理气体,或者在供给了含有卤素的第二处理气体之后供给含有氧的第三处理气体。蚀刻方法反复执行从第一蚀刻步骤至第二蚀刻步骤的流程。
发明效果
根据一实施方式,能够降低台阶形状的截面部分的粗糙度。
附图说明
图1是大致表示3D NAND闪存的结构的立体图。
图2是图1的3D NAND闪存的1-1截面图。
图3是表示实施方式的蚀刻装置的构成的一例的截面图。
图4A是示意性地表示晶片的构成的一例的图。
图4B是示意性地表示光致抗蚀剂层的蚀刻结果的一例的图。
图4C是示意性地表示形成于多层膜的台阶结构的一例的图。
图4D是示意性地表示附着有反应生成物的状态的一例的图。
图5A是表示蚀刻后的台阶形状的一例的立体图。
图5B是表示蚀刻后的台阶形状的一例的俯视图。
图6是表示卤族的元素和反应生成物的沸点的一例的图。
图7是表示实施方式的蚀刻方法的流程的一例的流程图。
图8A是表示比较例的处理条件的一例的图。
图8B是表示评价在比较例的处理条件下形成于晶片的多层膜的台阶形状的评价结果的一例的图。
图9A是表示实施例1的处理条件和关于蚀刻的评价的一例的图。
图9B是表示评价在实施例1的处理条件下形成于晶片的多层膜的台阶形状的评价结果的一例的图。
图10A是表示实施例2~5的处理条件的图。
图10B是表示评价在实施例2~5的处理条件下形成于晶片的多层膜的台阶形状的评价结果的一例的图。
图11A是表示实施例6~8的处理条件的图。
图11B是表示评价在实施例6~8的处理条件下形成于晶片的多层膜的台阶形状的评价结果的一例的图。
图12A是表示实施例9~10的处理条件的图。
图12B是表示评价在实施例9~10的处理条件下形成于晶片的多层膜的台阶形状的评价结果的一例的图。
图13A是表示实施例11~12的处理条件的图。
图13B是表示评价在实施例11~12的处理条件下形成于晶片的多层膜的台阶形状的评价结果的一例的图。
图14是表示处理气体的粗糙度与修整速率的相关性的一例的图。
附图标记说明
10 蚀刻装置
11 腔室
38 喷淋头
80 控制部
110 第一膜
120 第二膜
W 半导体晶片
ml 多层膜
PR 光致抗蚀剂层。
具体实施方式
下面,参照附图,对本申请所公开的蚀刻方法和蚀刻装置的实施方式进行详细说明。此外,在各附图中,对相同或者相当的部分标注相同的附图标记。另外,本实施方式并不限定公开的发明。各实施方式在使处理内容不矛盾的范围内能够适当组合。
[三维叠层半导体存储器的结构]
首先,参照图1和图2,对使用实施方式的蚀刻方法制造的三维叠层半导体存储器的一例进行说明。图1是概念性地表示3D NAND闪存的结构的立体图。图2是图1的3D NAND闪存的1-1截面图。3D NAND闪存是三维叠层半导体存储器的一例。
图1所示的NAND闪存100例如由能够分别去除的作为一个单位的多个模块构成。图1例示了两个模块BK1、BK2。源极扩散层102形成于半导体基片内,例如以全部模块共用一个的方式设置。源极扩散层102经由接触插销(contact plug)PS与源极线SL连接。在源极扩散层102上形成有例如相对介电常数不同的第一膜和第二膜交替地层叠而成的多层膜。在图1中,为方便图示,多层膜为6层结构,不过也可以为16层或32层,还可以为上述层数以上。
在图1中,除最上层之外的5个绝缘膜在各模块BK1、BK2内各自形成为片状,并且为了与各绝缘膜接触,其X方向的端部形成为台阶形状。由此,多层膜形成为大致金字塔形状。最下层为源极线侧选择栅极线SGS,除最下层和最上层之外的4个绝缘膜为4个字线WL。此外,在图1中,图示了多层膜的每一层绝缘膜形成台阶形状的情况,不过多层膜也可以为每两层以上的绝缘膜形成台阶形状。
最上层由在X方向线状延伸的多个导电线构成。在一个模块BK1内,例如配置有6根导电线。最上层的例如6根导电线为6个位线侧选择栅极线SGD。
而且,用于构成NAND单元(cell unit)的多个活性层AC以穿过多个绝缘膜而达到源极扩散层102的方式,在Z方向(相对于半导体基片的表面的铅直方向)形成为柱状。
多个活性层AC的上端与在Y方向延伸的多个位线BL连接。另外,源极线侧选择栅极线SGS经由接触插销PSG与在X方向延伸的引出线SGS1连接。字线WL分别经由接触插销PW1~PW4与在X方向延伸的引出线W1~W4连接。
另外,位线侧选择栅极线SGD分别经由接触插销PSD与在X方向延伸的引出线SGS1连接。多个位线BL、引出线SGS1和引出线W1~W4例如由金属构成。
图2是沿图1的1-1线剖切得到的截面图。源极线侧选择栅极线SGS和字线WL1~WL4经由接触插销PSG、接触插销PW1~PW4与在X方向延伸的引出线SGS1连接,从引出线W1~W4与构成未图示的驱动器的晶体管Tr连接。
[蚀刻装置的整体结构]
接着,对实施方式的蚀刻装置的整体结构进行说明。图3是表示实施方式的蚀刻装置的结构的一例的截面图。蚀刻装置10构成为下部2频率的平行平板型(电容耦合型)等离子体蚀刻装置,具有例如由表面经耐酸铝处理(阳极氧化处理)后的铝形成的圆筒形的真空腔室(处理容器)11。腔室11接地。
在腔室11内设置有用于载置作为被处理体的半导体晶片W(下面,称为晶片W)的载置台12。载置台12例如由铝构成,隔着绝缘性的筒状保持部14支承于从腔室11的底部向铅直上方延伸的筒状支承部16。为了提高蚀刻的面内均匀性,在载置台12的上表面即静电吸盘40的周缘部,例如设置有由硅构成的聚焦环18。
在腔室11的侧壁与筒状支承部16之间,形成有排气路径20。在排气路径20安装有环状的缓冲板22。在排气路径20的底部设置有排气口24,经由排气管26与排气装置28连接。排气装置28具有未图示的真空泵,用于将腔室11内的处理空间减压至规定的真空度。在腔室11的侧壁安装有用于对晶片W的送入送出口进行开闭的运送用的闸阀30。
等离子体中的离子引入用(偏置用)的第一高频电源31和等离子体生成用的第二高频电源32分别经由匹配器33和匹配器34与载置台12电连接。第一高频电源31对载置台12施加有助于向载置台12上的晶片W引入等离子体的离子的频率(例如0.4MHz)的第一高频电力。第二高频电源32向载置台12施加有助于在腔室11内生成等离子体的频率(例如100MHz)的第二高频电力。如此,载置台12作为下部电极发挥作用。后述的喷淋头38作为接地电位的上部电极设置于腔室11的顶部。由此,将来自第二高频电源32的高频电力容性地施加在载置台12与喷淋头38之间。
在载置台12的上表面设置有用于以静电吸附力来保持晶片W的静电吸盘40。静电吸盘40是将由导电膜构成的电极40a夹入一对绝缘膜之间的部件。电极40a与直流电压源42经由开关43电连接。静电吸盘40利用来自直流电压源42的电压,以库仑力将晶片W吸附保持在静电吸盘上。
导热气体供给源52使He气体等的导热气体通过气体供给线路54供给到静电吸盘40的上表面与晶片W的背面之间。
顶部的喷淋头38包括具有多个气体通气孔56a的电极板56和可拆装地支承于电极板56的电极支承件58。气体供给源62能够供给在蚀刻中使用的各种气体。例如,气体供给源62能够供给Ar、O2、C4F8、He、CH3F、CF4、NF3、Cl2等各种气体。气体供给源62从气体导入口60a经由气体供给配管64向喷淋头38内供给气体。喷淋头38将由气体供给源62供给的气体从多个气体通气孔56a供给到腔室11内。
在腔室11的周围配置有以环状或者同心圆状延伸的磁石66,利用磁力来控制在腔室11内的等离子体生成空间生成的等离子体。
在载置台12的内部设置有制冷剂管70。从冷却装置71经由配管72、73向制冷剂管70循环供给规定温度的制冷剂。另外,在静电吸盘40的背面设置有加热器75。从交流电源44对加热器75施加期望的交流电压。利用上述结构,通过由冷却装置71冷却且由加热器75加热,能够将晶片W调节到期望的温度。冷却装置71和加热器75的温度控制是根据来自控制部80的指令进行的。在本实施方式中,例如将晶片W的温度调节到45℃。
控制部80控制安装于蚀刻装置10的各部,例如排气装置28、交流电源44、直流电压源42、静电吸盘用的开关43、第一高频电源及第二高频电源31、32、匹配器33、34、导热气体供给源52、气体供给源62和冷却装置71。此外,控制部80还与未图示的主机连接。
控制部80包括未图示的CPU(Central Processing Unit,中央处理器)、ROM(ReadOnly Memory,只读存储器)、RAM(Random Access Memory,随机存取存储器),CPU按照存储于未图示的存储部的各种程序、方案,使蚀刻装置10执行各种处理。例如,控制部80使蚀刻装置10执行用于在多层膜形成台阶形状的蚀刻步骤。存储部使用例如半导体存储器、磁盘或者光盘等能够实现为RAM、ROM。程序、方案可以由存储介质存储并提供,由未图示的驱动器从存储部读取,或者也可以从未图示的网络上下载而存储到存储部。另外,为了实现上述各部的功能,也可以代替CPU而使用DSP(Digital Signal Processor,数字信号处理器)。此外,控制部80的功能,可以通过使用软件进行动作以实现,也可以通过使用硬件进行动作来实现,还可以使用软件和硬件这两者来实现。
接着,简单说明对实施方式的蚀刻装置10进行蚀刻时的动作的流程。在进行蚀刻时,闸阀30打开。将保持于运送臂上的晶片W从闸阀30送入到腔室11内。送入的晶片W由从载置台12上升的未图示的推升销保持,通过使推升销下降,而载置在静电吸盘40上。送入晶片W后,闸阀30关闭。气体供给源62以规定的流量和流量比向腔室11内导入在蚀刻中使用的处理气体。另外,排气装置28将腔室11内的压力减压到设定值。然后,第一高频电源31和第二高频电源32向载置台12供给规定的功率的高频电力。另外,直流电压源42对静电吸盘40的电极40a施加电压,以将晶片W固定在静电吸盘40上。另外,导热气体供给源52向静电吸盘40的上表面与晶片W的背面之间供给作为导热气体的He气体。从喷淋头38以喷淋状导入的处理气体被来自第二高频电源32的高频电力等离子体化。由此,在上部电极(喷淋头38)与下部电极(载置台12)之间的等离子体生成空间生成等离子体。等离子体中的离子由来自第一高频电源31的高频电力引入到晶片W。由此,晶片W的主面被等离子体蚀刻。
在等离子体蚀刻结束后,闸阀30打开。晶片W由推升销提高并保持。从闸阀30向腔室11内送入运送臂。推升销下降,晶片W保持在运送臂上。运送臂将晶片W送出腔室11之外,将下一晶片W送入腔室11内。反复进行该处理,以连续地处理晶片W。
[用于形成台阶形状的蚀刻步骤]
接着,说明用于形成台阶形状的蚀刻步骤。图4A是示意性地表示晶片的构成的一例的图。在晶片W形成有交替地层叠第一膜110与第二膜120而成的多层膜ml。多层膜ml例如为交替地层叠几十至几百层第一膜110和第二膜120而成的膜。
第一膜110和第二膜120是相对介电常数不同的绝缘膜。作为相对介电常数不同的多层膜ml,在本实施方式中在第一膜110形成硅氧化物膜(SiO2),在第二膜12形成硅氮化物膜(SiN)。
然而,第一膜110和第二膜120的组合不限于上述的硅氧化物膜/硅氮化物膜。例如,也可以为在第一膜110形成多晶硅膜(有掺杂),在第二膜120形成多晶硅膜(无掺杂)。能够根据掺杂的有无而使第一膜110和第二膜120的相对介电常数不同。作为掺杂的杂质,可以掺杂例如硼等。
另外,作为第一膜110和第二膜120的组合,也可以在第一膜110形成硅氧化物膜(SiO2),在第二膜120形成多晶硅膜(有掺杂)。另外,作为第一膜110和第二膜120的组合,也可以在第一膜110形成硅氧化物膜(SiO2),在第二膜120形成多晶硅膜(无掺杂)。
在多层膜ml的紧接的上方设置有作为掩模发挥作用的光致抗蚀剂层PR。该光致抗蚀剂层PR主要进行水平方向的蚀刻,有助于形成台阶形状。作为光致抗蚀剂层PR的材料,例如能够举出有机膜、无定形碳膜(α―C)。
蚀刻装置10进行包含第一蚀刻步骤和第二蚀刻步骤且反复规定次数从第一蚀刻步骤至第二蚀刻步骤的蚀刻处理,以对晶片W进行蚀刻而在多层膜ml形成台阶形状。
在第一蚀刻步骤中,蚀刻第一膜110和第二膜120。可以使用不同的处理来蚀刻第一膜110第二膜120。或者,也可以使用相同的处理来蚀刻第一膜110和第二膜120。
利用第一蚀刻步骤,在晶片W中,光致抗蚀剂层PR作为掩模发挥作用,主要蚀刻未被多层膜ml的表面的光致抗蚀剂层PR覆盖的部分。
在第一蚀刻步骤后,在第二蚀刻步骤中,蚀刻光致抗蚀剂层PR。在第二蚀刻步骤中,优化处理条件,以使得与铅直方向相比水平方向的蚀刻较强,尽量在水平方向蚀刻光致抗蚀剂层PR。第二蚀刻步骤的蚀刻也蚀刻光致抗蚀剂层PR的侧面,因此也称为修整。
利用第二蚀刻步骤,在晶片W中,蚀刻光致抗蚀剂层PR的与多层膜ml相反的一侧的铅直方向的表面和水平方向的表面。
图4B是示意性地表示光致抗蚀剂层的蚀刻结果的一例的图。如图4B所示,在铅直方向蚀刻光致抗蚀剂层PR,并且也在水平方向蚀刻光致抗蚀剂层PR。图4B表示了在一次第二蚀刻步骤中,光致抗蚀剂层PR的铅直方向被蚀刻的加工量TV和在水平方向被蚀刻的加工量TL。第二蚀刻步骤的TL/TV越大越好。
在形成台阶形状的蚀刻步骤中,反复进行第一蚀刻步骤的多层膜ml的蚀刻和第二蚀刻步骤的光致抗蚀剂层PR的后退,以在多层膜ml形成台阶结构。
图4C是示意性地表示形成于多层膜的台阶结构的一例的图。图4C的例是进行了5次第一蚀刻步骤,进行了4次第二蚀刻步骤的方式,形成了5级的台阶结构。此外,在图4C的例中,在一次第一蚀刻步骤中,蚀刻了多层第一膜110和第二膜120。图4C表示了形成台阶结构的蚀刻步骤中的光致抗蚀剂层PR在铅直方向被蚀刻的总加工量AV和在水平方向被蚀刻的总加工量AL。另外,图4C表示了作为台阶结构的平面的台面部分的台面宽度Wt和作为台阶结构的截面部分的角度的锥角θ。
然而,在现有的台阶形状的蚀刻中,存在台阶形状的截面部分的粗糙度变大的情况。例如,由于蚀刻多层膜ml的第一蚀刻步骤,而在光致抗蚀剂层PR的侧面附着反应生成物。该反应生成物例如为在蚀刻多层膜ml时生成的含硅的反应生成物。
图4D是示意性地表示附着有反应生成物的状态的一例的图。如图4D所示,在光致抗蚀剂层PR的侧面,附着含硅的反应生成物(Si系沉积物)。在第一蚀刻步骤中含硅的反应性生物不均匀地附着于光致抗蚀剂层PR的侧面的情况下,在第二蚀刻步骤中由于含硅的反应生成物的附着量而在蚀刻量上产生差,在光致抗蚀剂层PR的侧面产生凹凸。该凹凸形状在下一第一蚀刻步骤中被转印到多层膜ml。然后,通过反复进行第一蚀刻步骤和第二蚀刻步骤,在晶片W的多层膜ml形成的台阶形状的截面部分的粗糙度变大。
图5A是表示蚀刻后的台阶形状的一例的立体图。图5B是表示蚀刻后的台阶形状的一例的俯视图。图5A和图5B是图示SEM(Scanning Electron Microscope,扫描电子显微镜)图像的图。如图5A和图5B所示,在台阶形状的截面SF产生凹凸。
因此,本实施方式的蚀刻装置10在第二蚀刻步骤中,使腔室11内的压力成为比第一蚀刻步骤中高的规定的压力状态。并且,蚀刻装置10向腔室11内供给含氧和卤素的第一处理气体。例如,本实施方式的蚀刻装置10从喷淋头38供给含O2和Cl2的第一处理气体。
图6是表示卤族的元素和反应生成物的大气压(1气压)的状态下的沸点的一例的图。图6表示了作为卤族的元素的F、Cl、Br。另外,图6表示了与F、Cl、Br反应而生成的代表性的反应生成物。在使第二蚀刻步骤的处理气体分别含有F、Cl、Br的卤素气体的情况下,卤素气体与附着于光致抗蚀剂层PR的侧面等的含硅的反应生成物反应,生成Si系的反应生成物。另外,卤素气体与光致抗蚀剂层PR反应,生成C系的反应生成物。例如,Cl生成作为Si系的反应生成物的SiCl4。另外,Cl生成作为C系的反应生成物的CCl4。SiCl4在1气压的状态下沸点为57.7℃。CCl4在1气压的状态下沸点为76.8℃。如此,在Si系的反应生成物的沸点<C系的反应生成物的沸点的情况下,成为容易选择性地除去Si系的反应生成物的状态。关于比Cl元素序号大的卤族的元素,其任意Si系的反应生成物的沸点<C系的反应生成物的沸点。
实施方式的蚀刻装置10使第二蚀刻步骤的处理气体含有具有如下特性的卤族的任意元素:与含硅的反应生成物反应并使该反应生成物变质为具有比第二蚀刻步骤的压力状态高的蒸气压的第一物质,并且,与光致抗蚀剂层PR反应以生成具有比第二蚀刻步骤的压力状态低的蒸气压的第二物质。例如,蚀刻装置10使第二蚀刻步骤的处理气体含有Cl2的气体。
实施方式的蚀刻装置10在第二蚀刻步骤的处理容器内的压力下,将晶片W的温度调节为高于Si系的反应生成物的沸点且低于C系的反应生成物的沸点的温度。例如,蚀刻装置10将处理容器内的压力调节为8000Torr[8Torr],将晶片W的温度调节为45℃。此处,图6的例是大气压(1气压)的沸点。第二蚀刻步骤是处于减压下(8Torr)的,因此将晶片W的温度设定得较低。由此,SiCl4和CCl4中的SiCl4气化,能够除去附着于光致抗蚀剂层PR的侧面等的含硅的反应生成物。此外,也可以根据晶片W的温度来决定第二蚀刻步骤的处理气体含有的卤素。
由此,对于光致抗蚀剂层PR,附着于其侧面的反应生成物被除去而不产生因反应生成物导致的凹凸。其结果,实施方式的蚀刻装置10能够减小在晶片W的多层膜ml形成的台阶形状的截面部分的粗糙度。
另外,本实施方式的蚀刻装置10在第二蚀刻步骤中,从喷淋头38供给除氧和卤素之外还含有碳氟化合物、氢氟烃的至少一者的第一处理气体。例如,本实施方式的蚀刻装置10从喷淋头38供给除氧和卤素之外还含有CF4、NF3的至少一者的第一处理气体。由此,蚀刻装置10能够降低蚀刻速率。
此外,本实施方式的蚀刻装置10是在第二蚀刻步骤中向腔室11内供给含有氧和卤素的第一处理气体的装置,但是也可以分别供给氧和卤素。例如,也可以为蚀刻装置10在向腔室11内供给了含有卤素的第二处理气体之后,供给含有氧的第三处理气体。该情况下,由含有卤素的第二处理气体,除去附着于光致抗蚀剂层PR的侧面等的含硅的反应生成物。然后,由含有氧的第三处理气体蚀刻光致抗蚀剂层PR。因此,在该情况下,蚀刻装置10也能够降低在晶片W的多层膜ml形成的台阶形状的截面部分的粗糙度。
另外,存在多层膜ml因第一蚀刻步骤的蚀刻而露出的露出面受到损伤的情况下。例如,存在这样的情况:由于蚀刻而露出的多层膜ml的侧面的硅氧化物膜(SiO2)、硅氮化物膜(SiN)、硅氧化物膜(SiO2)的水平面受到损伤。
因此,也可以为本实施方式的蚀刻装置10在第二蚀刻步骤中,在供给含有卤素的第二处理气体的供给之前,供给含有氧的第四处理气体。利用含有氧的第四处理气体使露出面氧化。由此,蚀刻装置10能够抑制因第一蚀刻步骤的蚀刻而露出面受到损伤的情况。
[蚀刻的流程]
接着,说明本实施方式的蚀刻装置10执行的蚀刻方法的流程。图7是表示实施方式的蚀刻方法的流程的一例的流程图。图7是表示形成台阶形状的蚀刻步骤的流程。
控制部80将计数器n初始化为1(步骤S10)。控制部80控制蚀刻装置10,以对配置于腔室11内的晶片W进行将光致抗蚀剂层PR作为掩模来蚀刻多层膜ml的第一蚀刻步骤(步骤S11)。控制部80控制蚀刻装置10,以进行使腔室11内的压力成为大于第一蚀刻步骤的规定的压力状态,向腔室11内供给含有氧和卤素的第一处理气体的第二蚀刻步骤(步骤S12)。
控制部80判断计数器n的值是否为与台阶形状的阶数对应的规定值以上(步骤S13)。在计数器n的值不足规定值的情况下(S13:否),控制部80使计数器n加1(步骤S14),向上述的步骤S11转移。
另一方面,在计数器n的值为规定值以上的情况下(S13:是),结束处理。
下面,使用实施例来说明效果。首先,说明比较例。图8A是表示比较例的处理条件的一例的图。晶片W如图4A所示的那样构成。第一膜110为硅氧化物膜。第二膜120为硅氮化物膜。图8A表示了第一蚀刻步骤和第二蚀刻步骤的处理条件。在第一蚀刻步骤中,在硅氧化物膜(Ox)的蚀刻与硅氮化物膜(SiN)的蚀刻中改变处理条件,以交替地切换处理条件的方式进行硅氧化物膜和硅氮化物膜的蚀刻。循环(Cycle)A是按台阶形状的一阶蚀刻硅氧化物膜和硅氮化物膜的次数。在图8A的例中,作为第一蚀刻步骤,交替地进行3次硅氧化物膜(Ox)的蚀刻和硅氮化物膜(SiN)的蚀刻,之后,进行作为第二蚀刻步骤的光致抗蚀剂层PR的蚀刻。循环(Cycle)B是反复循环A的次数。在图8A的例中,进行5次循环A。由此,在晶片W的多层膜ml,形成与循环B的次数相对应的台阶形状。
例如,在比较例中,按下面的处理条件进行第一蚀刻步骤的硅氧化物膜(Ox)的蚀刻。
蚀刻时间:9.0[sec]
压力:50[mTorr]
第二高频电源32(100MHz)的功率:2400[W]
第一高频电源31(0.4MHz)的功率:200[W]
气体种类和气体流量:Ar/O2/C4F8=600/20/35[sccm]
另外,在比较例中,按下面的处理条件进行第一蚀刻步骤的硅氮化物膜(SiN)的蚀刻。
蚀刻时间:12.0[sec]
压力:50[mTorr]
第二高频电源32(100MHz)的功率:1800[W]
第一高频电源31(0.4MHz)的功率:300[W]
气体种类和气体流量:O2/He/CH3F=60/150/100[sccm]
另外,在比较例中,按下面的处理条件进行第二蚀刻步骤。比较例也可以为第二蚀刻步骤的处理气体仅为氧,不含有卤素、碳氟化合物、氢氟烃。
蚀刻时间:15.0[sec]
压力:8000[mTorr]
第二高频电源32(100MHz)的功率:5000[W]
第一高频电源31(0.4MHz)的功率:0[W]
气体种类和气体流量:O2=1000[sccm]
蚀刻装置10安装比较例的处理条件,进行在晶片W的多层膜ml形成台阶形状的蚀刻步骤。
图8B是表示评价在比较例的处理条件下在晶片的多层膜形成的台阶形状的评价结果的一例的图。在图8B的例中,给出了作为评价参数的光致抗蚀剂层PR的平均修整速率、台阶形状的截面部分的粗糙度3σ、TL/TV。此外,台阶形状的截面部分的粗糙度3σ根据第四级的截面部分求取。
在比较例的处理条件下,关于蚀刻的评价如下所示。
光致抗蚀剂层PR的平均修整速率:1898.4[nm/min]
台阶形状的截面部分的粗糙度3σ:12.3[nm]
TL/TV:0.65
下面,说明实施例1。图9A是表示实施例1的处理条件和关于蚀刻的评价的一例的图。实施例1的第一蚀刻步骤为与比较例相同的处理条件。另一方面,实施例1的第二蚀刻步骤中,蚀刻时间变为比比较例短,使处理气体含有O2、CF4和Cl2
例如,实施例1的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
蚀刻时间:10.0[sec]
气体种类和气体流量:O2/CF4/Cl2=1000/160/40[sccm]
蚀刻装置10按照实施例1的处理条件,进行在晶片W的多层膜ml形成台阶形状的蚀刻步骤。
图9B是表示评价在实施例1的处理条件下在晶片的多层膜形成的台阶形状的评价结果的一例的图。在图9B的例中,表示作为评价参数的光致抗蚀剂层PR的平均修整速率、台阶形状的截面部分的粗糙度3σ、TL/TV
在实施例1的处理条件下,关于蚀刻的评价如下所示。
光致抗蚀剂层PR的平均修整速率:3519.6[nm/min]
台阶形状的截面部分的粗糙度3σ:4.5[nm]
TL/TV:0.72
如此,实施例1与比较例相比,粗糙度3σ的值变小,能够降低台阶形状的截面部分的粗糙度。另外,实施例1与比较例相比,平均修整速率和TL/TV提高。
接着,说明实施例2~5。图10A是表示实施例2~5的处理条件的图。实施例2~5的第一蚀刻步骤为与比较例相同的处理条件。另一方面,实施例2~5的第二蚀刻步骤与实施例1相比,蚀刻时间变长,处理气体含有氧和Cl2,使含Cl2的比例变化。在图10A中,以“xx”标注Cl2的气体流量。
例如,实施例2的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/Cl2=1000/5[sccm]
实施例3的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/Cl2=1000/10[sccm]
实施例4的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/Cl2=1000/20[sccm]
实施例5的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/Cl2=1000/40[sccm]
蚀刻装置10按照实施例2~5的处理条件,分别进行在晶片W的多层膜ml形成台阶形状的蚀刻步骤。
图10B是表示评价在实施例2~5的处理条件下在晶片的多层膜形成的台阶形状的评价结果的一例的图。在图10B的例中,给出了作为评价参数的平均台面宽度、平均锥角、台阶形状的截面部分的粗糙度3σ、TL/TV。另外,在图10B中也表示了比较例(O2=1000[sccm])的评价结果。
在比较例的处理条件下,关于蚀刻的评价如下所示。
平均台面宽度:474.6[nm]
平均锥角:73度
台阶形状的截面部分的粗糙度3σ:12.3[nm]
TL/TV:0.65
在实施例2的处理条件下,关于蚀刻的评价如下所示。
平均台面宽度:633.5[nm]
平均锥角:79度
台阶形状的截面部分的粗糙度3σ:4.3[nm]
TL/TV:0.73
在实施例3的处理条件下,关于蚀刻的评价如下所示。
平均台面宽度:599.0[nm]
平均锥角:77度
台阶形状的截面部分的粗糙度3σ:5.8[nm]
TL/TV:0.72
在实施例4的处理条件下,关于蚀刻的评价如下所示。
平均台面宽度:461.6[nm]
平均锥角:77度
台阶形状的截面部分的粗糙度3σ:4.9[nm]
TL/TV:0.66
在实施例5的处理条件下,关于蚀刻的评价如下所示。
平均台面宽度:409.3[nm]
平均锥角:77度
台阶形状的截面部分的粗糙度3σ:5.8[nm]
TL/TV:0.64
如此,实施例2~5与比较例相比,粗糙度3σ的值变小,能够降低台阶形状的截面部分的粗糙度。根据实施例2~5的结果,当第二蚀刻步骤的处理气体中Cl2相对于O2的含有比例为0.5%以上时,能够降低台阶形状的截面部分的粗糙度。
另一方面,实施例2~5与比较例相比,平均台面宽度为相同程度或者少许减少。即使与第二蚀刻步骤进行相同的时间,在光致抗蚀剂层PR的侧面的修整速率较大的情况下,台面宽度也变大。实施例2~5中,平均台面宽度与比较例为相同程度或者少许减少,与实施例1相比,平均修整速率少许降低。
接着,说明实施例6~8。图11A是表示实施例6~8的处理条件的图。实施例6~8的第一蚀刻步骤为与比较例相同的处理条件。另一方面,实施例6~8的第二蚀刻步骤与实施例1相比,蚀刻时间变长,使处理气体含有O2和CF4,使CF4的含有比例变化。图11A中,以“xx”标记CF4的气体流量。
例如,实施例6的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/CF4=1000/20[sccm]
实施例7的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/CF4=1000/80[sccm]
实施例8的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
蚀刻时间:10.0[sec]
气体种类和气体流量:O2/CF4=1000/160[sccm]
蚀刻装置10按照实施例6~8的处理条件,分别进行在晶片W的多层膜ml形成台阶形状的蚀刻步骤。
图11B是表示评价在实施例6~8的处理条件下在晶片的多层膜形成的台阶形状的评价结果的一例的图。在图11B的例中,表示了作为评价参数的平均台面宽度、平均锥角、台阶形状的截面部分的粗糙度3σ、TL/TV。另外,图11B与图10B同样,也表示了比较例(O2=1000[sccm])的评价结果。
在实施例6的处理条件下,关于蚀刻的评价如下所示。
平均台面宽度:488.6[nm]
平均锥角:75度
台阶形状的截面部分的粗糙度3σ:10.9[nm]
TL/TV:0.65
在实施例7的处理条件下,关于蚀刻的评价如下所示。
平均台面宽度:876.9[nm]
平均锥角:73度
台阶形状的截面部分的粗糙度3σ:12.5[nm]
TL/TV:0.79
在实施例8的处理条件下,关于蚀刻的评价如下所示。其中,实施例8中,将蚀刻时间缩短为10.0[sec],因此示出了将平均台面宽度换算为15.0[sec]的值。
平均台面宽度:1298.6[nm]
平均锥角:74度
台阶形状的截面部分的粗糙度3σ:8.1[nm]
TL/TV:0.82
如此,实施例6~8与比较例相比,CF4的气体流量越多,平均台面宽度变得越大。因此,在第二蚀刻步骤中,处理气体中含有的CF4的气体流量越多,平均修整速率越增大。
另一方面,实施例6~8与比较例相比,粗糙度3σ的值为相同程度或者少许降低,不能够充分改善台阶形状的截面部分的粗糙度。即,在实施例2~5的第二蚀刻步骤中,即使使处理气体含有氧和CF4,也不能充分改善粗糙度。
接着,说明实施例9~10。图12A是表示实施例9~10的处理条件的图。实施例9~10的第一蚀刻步骤为与比较例相同的处理条件。另一方面,实施例9~10的第二蚀刻步骤与实施例1相比,蚀刻时间变长,使处理气体含有氧和NF3,使NF3的含有比例变化。在图12A中,以“xx”标记NF3的气体流量。
例如,实施例9的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/NF3=1000/40[sccm]
实施例10的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
气体种类和气体流量:O2/NF3=1000/80[sccm]
蚀刻装置10按照实施例9~10的处理条件,分别进行在晶片W的多层膜ml形成台阶形状的蚀刻步骤。
图12B是表示评价在实施例9~10的处理条件下在晶片的多层膜形成的台阶形状的评价结果的一例的图。在图12B的例中,表示了作为评价参数的平均台面宽度、平均锥角、台阶形状的截面部分的粗糙度3σ、TL/TV。另外,图12B与图10B同样,也表示了比较例(O2=1000[sccm])的评价结果。
在实施例9的处理条件中,关于蚀刻的评价如下所示。其中,台阶形状的截面部分粗乱,锥角的变化也较大,因此平均锥角以参考值表示。
平均台面宽度:682.2[nm]
平均锥角:73度(参考值)
台阶形状的截面部分的粗糙度3σ:53.8[nm]
TL/TV:0.69
在实施例10的处理条件中,关于蚀刻的评价如下所示。其中,台阶形状的截面部分粗乱,锥角的变化也较大,因此平均锥角以参考值表示。
平均台面宽度:1205.5[nm]
平均锥角:69度(参考值)
台阶形状的截面部分的粗糙度3σ:61.2[nm]
TL/TV:0.83
如此,实施例9~10与比较例相比,NF3的气体流量越多,平均台面宽度变得越大。因此,在第二蚀刻步骤中,处理气体含有的NF3的气体流量越多,平均修整速率越增大。
另一方面,实施例9~10与比较例相比,粗糙度3σ的值大大增大,不能改善台阶形状的截面部分的粗糙度。即,在实施例9~10的第二蚀刻步骤中,即使使处理气体含有氧和NF3,也不能改善粗糙度。
接着,说明实施例11~12。图13A是表示实施例11~12的处理条件的图。实施例11~12的第一蚀刻步骤为与比较例相同的处理条件。另一方面,实施例11~12的第二蚀刻步骤中,改变蚀刻时间,使处理气体含有氧和Cl2,此外还含有CF4或者NF3,使Cl2、CF4、NF3的含有比例变化。在图12A中,以“ww”标记第二蚀刻步骤的蚀刻时间,以“xx”标记CF4的气体流量,以“yy”标记Cl2的气体流量,以“zz”标记NF3的气体流量。
例如,实施例11的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
蚀刻时间:10.0[sec]
气体种类和气体流量:O2/CF4/Cl2=1000/160/40[sccm]
实施例12的第二蚀刻步骤以比较例为基础,如下所示改变处理条件。
蚀刻时间:10.0[sec]
气体种类和气体流量:O2/NF3/Cl2=1000/80/40[sccm]
蚀刻装置10按照实施例11~12的处理条件,分别进行在晶片W的多层膜ml形成台阶形状的蚀刻步骤。
图13B是表示评价在实施例11~12的处理条件下形成于晶片的多层膜的台阶形状的评价结果的一例的图。在图13B的例子中,表示了作为评价参数的平均台面宽度、平均锥角、台阶形状的截面部分的粗糙度3σ、TL/TV。另外,图13B与图10B通过,也表示了比较例(O2=1000[sccm])的评价结果。
在实施例11的处理条件下,关于蚀刻的评价如下所示。其中,在实施例11中,将蚀刻时间缩短为10.0[sec],因此表示了将平均台面宽度换算为15.0[sec]的值。
平均台面宽度:826.1[nm]
平均锥角:70度
台阶形状的截面部分的粗糙度3σ:5.0[nm]
TL/TV:0.71
在实施例12的处理条件下,关于蚀刻的评价如下所示。其中,在实施例12中,将蚀刻时间缩短为10.0[sec],因此表示了将平均台面宽度换算为15.0[sec]的值。
平均台面宽度:896.7[nm]
平均锥角:72度
台阶形状的截面部分的粗糙度3σ:6.3[nm]
TL/TV:0.73
如此,实施例11~12与比较例相比,粗糙度3σ的值变小,能够降低台阶形状的截面部分的粗糙度。
另外,实施例11~12与比较例相比,平均台面宽度变大。因此,在第二蚀刻步骤中,处理气体中除了O2和Cl2之外,还含有CF4或者NF3,由此平均修整速率增大。
图14是表示处理气体的粗糙度与修整速率的相关性的一例的图。图14表示第二蚀刻步骤的处理气体含有的气体种类。在形成台阶形状的蚀刻步骤中,如实施例11那样使第二蚀刻步骤的处理气体含有O2、CF4、Cl2,由此,与比较例那样使处理气体仅含有O2的情况相比,粗糙度降低。另外,修整速率增大。此外,在形成台阶形状的蚀刻步骤中,通过如实施例12那样使第二蚀刻步骤的处理气体含有O2、NF3、Cl2,粗糙度也降低。而且,修整速率增大。
另一方面,在形成台阶形状的蚀刻步骤中,如实施例2~5那样使第二蚀刻步骤的处理气体含有O2,Cl2,由此,与如比较例那样使处理气体仅含有O2的情况相比,粗糙度降低。而修整速率少许减小。
另外,在形成台阶形状的蚀刻步骤中,如实施例6~10那样使第二蚀刻步骤的处理气体含有O2并且含有CF4或者NF3,由此,与如比较例那样使处理气体仅含有O2的情况相比,修整速率增大。而粗糙度降低。
[效果]
如上所述,实施方式的蚀刻装置10对于在第一膜110与第二膜120交替地层叠而成的多层膜ml的表面形成光致抗蚀剂层PR且配置在腔室(处理容器)11内的晶片W,执行以光致抗蚀剂层PR作为掩模来蚀刻多层膜ml的第一蚀刻步骤。蚀刻装置10还执行供给含有氧和卤素的第一处理气体,或者在供给了含有卤素的第二处理气体后供给含有氧的第三处理气体的第二蚀刻步骤。蚀刻装置10反复执行从第一蚀刻步骤至第二蚀刻步骤的流程。由此,蚀刻装置10能够在多层膜ml形成台阶形状。另外,蚀刻装置10能够降低在多层膜ml形成的台阶形状的截面部分的粗糙度。
另外,实施方式的蚀刻装置10在第二蚀刻步骤中,使腔室11内的压力成为比第一蚀刻步骤高的规定的压力状态。由此,蚀刻装置10能够提高光致抗蚀剂层PR的水平方向的蚀刻速率。
另外,实施方式的蚀刻装置10使第一处理气体含有的卤素为具有如下特性的卤族的任意元素:与由第一蚀刻步骤生成的含硅的反应生成物反应,以使该反应生成物变质为具有比第二蚀刻步骤的压力状态高的蒸气压的第一物质(Si系的反应生成物),并且,与光致抗蚀剂层PR反应,以生成具有比第二蚀刻步骤的压力状态低的蒸气压(C系的反应生成物)。由此,蚀刻装置10能够有选择地除去第二物质和第一物质中的第一物质。
另外,实施方式的蚀刻装置10中,第一处理气体还含有碳氟化合物、氢氟烃的至少一者。例如,实施方式的蚀刻装置10中,第一处理气体还含有CF4或者NF3。由此,蚀刻装置10能够提高光致抗蚀剂层PR的修整速率。
另外,实施方式的蚀刻装置10使第一处理气体的卤素相对于氧的含有比例为0.5%。由此,蚀刻装置10能够降低在多层膜ml形成的台阶形状的截面部分的粗糙度。
另外,实施方式的蚀刻装置10在供给第二处理气体之前,供给含有氧的第四处理气体。由此,蚀刻装置10能够抑制由第一蚀刻步骤的蚀刻导致的露出面受到损伤的情况。
以上,使用实施方式对本发明进行了说明,不过本发明的技术范围不限于上述实施方式记载的范围。对本领域技术人员而言,显然在上述实施的方式中能够进行各种改变或者增加改进。另外,这样的改变或者增加改进的方式也能够包含于本发明的技术范围内,这一点从权利要求的范围的记载中看是显而易见的。
例如,在上述的实施方式中,以进行在多层膜ml形成台阶形状的蚀刻的情况为例进行了说明,但不限于此。形成台阶形状的对象只要是含硅膜即可,可以是单层膜。
另外,在上述的实施方式中,以被处理体为形成有多层膜ml的晶片W为例进行了说明,但不限于此。被处理体只要是形成台阶形状的对象,则可以为任意被处理体。

Claims (8)

1.一种对被处理体进行蚀刻的蚀刻方法,其中,所述被处理体形成有含硅膜,且在该含硅膜的表面形成有光致抗蚀剂,所述蚀刻方法的特征在于,包括:
第一蚀刻步骤,对于配置在处理容器内的所述被处理体,以所述光致抗蚀剂为掩模来蚀刻所述含硅膜;和
第二蚀刻步骤,向所述处理容器内供给含有氧和卤素的第一处理气体,或者在供给了含有卤素的第二处理气体之后供给含有氧的第三处理气体,
反复执行从所述第一蚀刻步骤至所述第二蚀刻步骤的流程。
2.如权利要求1所述的蚀刻方法,其特征在于:
所述第二蚀刻步骤使所述处理容器内的压力成为比所述第一蚀刻步骤高的规定的压力状态。
3.如权利要求1或2所述的蚀刻方法,其特征在于:
所述第一处理气体和所述第二处理气体所含有的卤素为具有以下特性的卤族的任意元素,即:与由所述第一蚀刻步骤生成的含硅的反应生成物反应,使该反应生成物变质为具有比第二蚀刻步骤的压力状态高的蒸气压的第一物质,并且,与所述光致抗蚀剂反应,生成具有比所述第二蚀刻步骤的压力状态低的蒸气压的第二物质。
4.如权利要求1至3中任一项所述的蚀刻方法,其特征在于:
所述第一处理气体和所述第二处理气体还含有碳氟化合物、氢氟烃的至少一者。
5.如权利要求1至4中任一项所述的蚀刻方法,其特征在于:
所述第一处理气体中的卤素相对于氧的含有比例为0.5%以上。
6.如权利要求1至5中任一项所述的蚀刻方法,其特征在于:
所述第二蚀刻步骤在供给所述第二处理气体之前,供给含有氧的第四处理气体。
7.如权利要求1至6中任一项所述的蚀刻方法,其特征在于:
所述含硅膜是SiN与SiO的层交替地层叠而成的多层膜。
8.一种蚀刻装置,其特征在于,包括:
处理容器;和
控制部,其进行控制,使得对配置在所述处理容器内的被处理体反复多次地进行第一蚀刻步骤和第二蚀刻步骤,其中,所述被处理体形成有含硅膜且在该含硅膜的表面形成有光致抗蚀剂,在第一蚀刻步骤中,对于所述被处理体,以所述光致抗蚀剂为掩模来蚀刻所述含硅膜,在第二蚀刻步骤中,向所述处理容器内供给含有氧和卤素的第一处理气体,或者在供给了含有卤素的第二处理气体之后供给含有氧的第三处理气体。
CN201910026345.7A 2018-01-11 2019-01-11 蚀刻方法和蚀刻装置 Active CN110034021B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018002342A JP2019121750A (ja) 2018-01-11 2018-01-11 エッチング方法およびエッチング装置
JP2018-002342 2018-01-11

Publications (2)

Publication Number Publication Date
CN110034021A true CN110034021A (zh) 2019-07-19
CN110034021B CN110034021B (zh) 2023-06-09

Family

ID=67140951

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910026345.7A Active CN110034021B (zh) 2018-01-11 2019-01-11 蚀刻方法和蚀刻装置

Country Status (4)

Country Link
US (1) US10658193B2 (zh)
JP (1) JP2019121750A (zh)
KR (1) KR20190085873A (zh)
CN (1) CN110034021B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム
KR102328573B1 (ko) * 2020-01-17 2021-11-17 성균관대학교산학협력단 C-free 할로겐 기반의 가스를 이용한 실리콘 산화막 대비 높은 식각 선택비를 갖는 실리콘 질화막 건식 식각 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
CN101903989A (zh) * 2007-12-21 2010-12-01 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
JP2011003722A (ja) * 2009-06-18 2011-01-06 Toshiba Corp 半導体装置の製造方法
CN102655086A (zh) * 2011-03-03 2012-09-05 东京毅力科创株式会社 半导体器件的制造方法和计算机存储介质
JP2014036148A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd 多層膜をエッチングする方法、及びプラズマ処理装置
CN104081502A (zh) * 2012-02-17 2014-10-01 东京毅力科创株式会社 半导体器件的制造方法
CN104851795A (zh) * 2014-02-17 2015-08-19 东京毅力科创株式会社 半导体器件的制造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4403241A (en) * 1980-08-22 1983-09-06 Bell Telephone Laboratories, Incorporated Method for etching III-V semiconductors and devices made by this method
ATE68912T1 (de) * 1985-09-27 1991-11-15 Unisys Corp Verfahren zur herstellung einer konischen kontaktoeffnung in polyimid.
FR2590409B1 (fr) * 1985-11-15 1987-12-11 Commissariat Energie Atomique Procede de fabrication d'un transistor en couches minces a grille auto-alignee par rapport au drain et a la source de celui-ci et transistor obtenu par le procede
US4698128A (en) * 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
US4952274A (en) * 1988-05-27 1990-08-28 Northern Telecom Limited Method for planarizing an insulating layer
JP2924477B2 (ja) * 1992-07-14 1999-07-26 日本電気株式会社 有機膜のエッチング方法
JPH06196453A (ja) * 1992-12-24 1994-07-15 Kawasaki Steel Corp レジストの剥離方法
GB9600469D0 (en) * 1996-01-10 1996-03-13 Secr Defence Three dimensional etching process
JP3703332B2 (ja) * 1998-07-22 2005-10-05 キヤノン株式会社 プラズマ処理装置及びプラズマ処理方法
DE19926108C2 (de) * 1999-06-08 2001-06-28 Infineon Technologies Ag Nichtflüchtige Halbleiter-Speicherzelle mit einem Metalloxid-Dielektrikum und Verfahren zu deren Herstellung
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP4381694B2 (ja) * 2003-02-25 2009-12-09 株式会社日立ハイテクノロジーズ 試料の表面処理方法
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
JP4579611B2 (ja) * 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8298959B2 (en) * 2009-06-03 2012-10-30 Applied Materials, Inc. Method and apparatus for etching
KR101603737B1 (ko) * 2010-05-11 2016-03-16 삼성전자주식회사 기상 세정을 이용한 금속 잔류물 제거 방법, 도전막 패턴의 형성 방법, 반도체 소자의 제조 방법 및 관련 설비
JP5840973B2 (ja) * 2011-03-03 2016-01-06 東京エレクトロン株式会社 半導体装置の製造方法及びコンピュータ記録媒体
JP5934523B2 (ja) * 2012-03-02 2016-06-15 東京エレクトロン株式会社 半導体装置の製造方法及びコンピュータ記録媒体
US9059038B2 (en) * 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
CN101903989A (zh) * 2007-12-21 2010-12-01 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
JP2011003722A (ja) * 2009-06-18 2011-01-06 Toshiba Corp 半導体装置の製造方法
CN102655086A (zh) * 2011-03-03 2012-09-05 东京毅力科创株式会社 半导体器件的制造方法和计算机存储介质
CN104081502A (zh) * 2012-02-17 2014-10-01 东京毅力科创株式会社 半导体器件的制造方法
JP2014036148A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd 多層膜をエッチングする方法、及びプラズマ処理装置
CN104851795A (zh) * 2014-02-17 2015-08-19 东京毅力科创株式会社 半导体器件的制造方法

Also Published As

Publication number Publication date
US20190214267A1 (en) 2019-07-11
CN110034021B (zh) 2023-06-09
US10658193B2 (en) 2020-05-19
KR20190085873A (ko) 2019-07-19
JP2019121750A (ja) 2019-07-22

Similar Documents

Publication Publication Date Title
US10410877B2 (en) Etching method
CN105762073B (zh) 用于各向异性钨蚀刻的方法和装置
KR101455883B1 (ko) 펄스화된 초고 애스펙트비 유전체 식각
US11658036B2 (en) Apparatus for processing substrate
CN1992164B (zh) 等离子体蚀刻方法
US20200135459A1 (en) Methods for etching a structure for semiconductor applications
CN107406977A (zh) 使用自组装单层的选择性电介质沉积的方法
TW201700766A (zh) 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
CN104106127A (zh) 半导体制造装置的制造方法和半导体制造装置
JP6621882B2 (ja) エッチング装置
CN110034021A (zh) 蚀刻方法和蚀刻装置
CN108028196A (zh) 等离子体处理方法
JP2022529610A (ja) 多重スペーサパターニングのスキーム
CN104576355B (zh) 等离子体处理方法
US20240087894A1 (en) High density carbon films for patterning applications
US20220246443A1 (en) Substrate processing method and substrate processing apparatus
TW573326B (en) Storage poly process without carbon contamination
JP7267484B2 (ja) エッチング方法およびエッチング装置
US7902073B2 (en) Glue layer for hydrofluorocarbon etch
US20230223249A1 (en) Substrate processing method and substrate processing apparatus
WO2022181691A1 (ja) 基板処理方法及び基板処理装置
TWI797739B (zh) 蝕刻方法、電漿處理裝置及基板處理系統
CN112786442A (zh) 等离子体处理方法及等离子体处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant