CN109920730B - 一种图案化方法 - Google Patents

一种图案化方法 Download PDF

Info

Publication number
CN109920730B
CN109920730B CN201711326148.4A CN201711326148A CN109920730B CN 109920730 B CN109920730 B CN 109920730B CN 201711326148 A CN201711326148 A CN 201711326148A CN 109920730 B CN109920730 B CN 109920730B
Authority
CN
China
Prior art keywords
pattern
layer
patterned mask
peripheral
array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711326148.4A
Other languages
English (en)
Other versions
CN109920730A (zh
Inventor
张峰溢
李甫哲
林盈志
林刚毅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujian Jinhua Integrated Circuit Co Ltd
United Microelectronics Corp
Original Assignee
Fujian Jinhua Integrated Circuit Co Ltd
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujian Jinhua Integrated Circuit Co Ltd, United Microelectronics Corp filed Critical Fujian Jinhua Integrated Circuit Co Ltd
Priority to CN201711326148.4A priority Critical patent/CN109920730B/zh
Priority to US16/167,435 priority patent/US10535530B2/en
Publication of CN109920730A publication Critical patent/CN109920730A/zh
Application granted granted Critical
Publication of CN109920730B publication Critical patent/CN109920730B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开一种图案化方法。首先提供一基底,包含阵列区和周边区。在基底上形成一材料层,完全覆盖阵列区和周边区。形成第一图案化掩模层,并以第一图案化掩模层进行第一自对准双重图案化制作工艺,将覆盖阵列区和周边区的材料层分别图案化成第一阵列图案和第一周边图案。形成第二图案化掩模层并以第二图案化掩模层进行第二自对准双重图案化制作工艺,将第一阵列图案图案化成第二阵列图案。形成第三图案化掩模层并以第三图案化掩模层为蚀刻掩模蚀刻移除部分第一周边图案,将第一周边图案图案化成第二周边图案。后续,以第二阵列图案和第二周边图案为蚀刻掩模蚀刻其下方的图案转移层,将第二阵列图案和第二周边图案的图案同时转移至图案转移层中。

Description

一种图案化方法
技术领域
本发明涉及半导体制造领域,特别是涉及一种半导体元件的图案化方法。
背景技术
半导体元件的制造过程中,布局设计图案是通过图案化制作工艺而被转移制作到半导体材料中。常规的图案化制作工艺通常包含光刻与蚀刻步骤,例如先通过光刻步骤将制作在一光掩模上的布局图案转移制作到半导体材料层上的一掩模层中,形成图案化掩模层,然后再以该图案化掩模层为蚀刻掩模对半导体材料层进行蚀刻,进一步将图案转移制作到半导体材料层中。随着半导体元件尺寸微缩以及功能提升,布局设计图案不仅具有更小的关键尺寸,还可能包含了多种不同型态的密集图案,使得图案化制作工艺更加困难与复杂。图案化制作工艺常是半导体制造领域往更小技术结点发展的关键因素。
发明内容
有鉴于此,本发明提供了一种改良的图案化方法,可用较简单的步骤同时制作出不同型态的紧密图案。
根据本发明一实施例的图案化方法,包含下列步骤。首先提供一基底,包含一阵列区和一周边区。在基底上形成一材料层,完全覆盖该阵列区和该周边区。接着形成一第一图案化掩模层,覆盖该阵列区和该周边区的部分该材料层。以该第一图案化掩模层进行一第一自对准双重图案化制作工艺,将该阵列区和该周边区的该材料层分别图案化成一第一阵列图案和一第一周边图案。形成一第二图案化掩模层,部分覆盖该第一阵列图案,完全覆盖该第一周边图案。以该第二图案化掩模层进行一第二自对准双重图案化制作工艺,将该第一阵列图案图案化成一第二阵列图案。形成一第三图案化掩模层,显露部分该第一周边图案,完全覆盖该第二阵列图案。以该第三图案化掩模层为蚀刻掩模蚀刻移除该第一周边图案显露的部分,将该第一周边图案图案化成一第二周边图案。后续,第二阵列图案和第二周边图案的图案被同时转移至该基底以及该材料层之间的一目标层中,再同时被转移至一目标层中,由此获得理想的图案化目标层。
附图说明
图1至图15为本发明一优选实施例的图案化方法的步骤示意图。
主要元件符号说明
10 基底 160 材料层
101 阵列区 160a 第一阵列图案
102 周边区 160a' 第二阵列图案
110 层间介电层 160b 第一周边图案
112 接触插塞 160b' 第二周边图案
114 接触插塞 170a、170b 第一图案化掩模层
120 目标层 172 第一间隙壁材料层
120a 目标层图案 182 第一平坦化层
120b 目标层图案 190a、190b 第二图案化掩模层
L1 图案转移层 192 第二间隙壁材料层
130 硬掩模层 202 第二平坦化层
140 先进图案化薄膜 212a、212b 第三图案化掩模层
150 抗反射层 213 开口图案
A-A' 切线 P1、P2、P3、 间距
P4、P5、P6
B-B' 切线 X 第一方向
C-C' 切线 Y 第二方向
具体实施方式
本发明的图案化方法可同时制作出不同型态的紧密图案,例如用来制作动态随机存取存储器(DRAM)阵列区的存储节点接触垫(storage node pad)和周边区的接触插塞接触垫(contact plug pad)。
图1至图15为本发明一优选实施例的图案化方法的步骤示意图,其中图1至图6上部为顶视图,下部为沿着顶视图中切线A-A’和切线B-B’的剖面示意图;图7至图15上部为顶视图,下部为沿着顶视图中切线C-C’和切线B-B’的剖面示意图。切线A-A’和切线C-C’分别沿着第一方向X和第二方向Y切过阵列区101,切线B-B’沿着第一方向X切过周边区102。所述实施例中,第一方向X与第二方向Y互相垂直。在其他实施例中,第一方向Y与第二方向X可不垂直,两者夹有一小于90度的锐角。
请参考图1,首先提供一基底10,包含一阵列区101与一周边区102。基底10例如是硅基底、外延硅基底、硅锗基底、碳化硅基底或硅覆绝缘(silicon-on-insulator,SOI)基底,但不限于此。基底10可包含晶体管、字符线和位线形成其中,为了简化图示并未绘示出来。基底10上形成有层间介电层110以及位在层间介电层110中的接触插塞112和接触插塞114。层间介电层110材料可包含氧化硅、氮化硅等介电材料,但不限于此。接触插塞112例如是位于阵列区101的存储节点接触插塞(storage node contact),用来连接晶体管的源/漏区与后续形成的电容。接触插塞114则位于周边区102,用来连接周边电路晶体管的源/漏区与金属内连线。一目标层120形成在层间介电层110上并且与接触插塞112和接触插塞114直接接触。目标层120和接触插塞112和114可以是一体成形构成,例如在层间介电层110中定义出多个开口后,沉积一导电材料(例如铝、钨、铜、钛铝合金或其他适合的低电阻金属导电材料)填满该些开口形成接触插塞112和114,导电材料还覆盖至层间介电层110表面,形成目标层120。目标层120后续将会被图案化,在阵列区101形成对位于接触插塞112的存储节点接触垫,在周边区102形成对位于接触插塞114的接触插塞接触垫。接着,在目标层120上形成一图案转移层L1以及一材料层160,用来将预计的存储节点接触垫和接触插塞接触垫的图案转移至目标层120中。图案转移层L1可以是包含硬掩模层130、先进图案化薄膜(advanced patterning film,APF)140以及抗反射层150的迭层。硬掩模层130例如是氮化硅,先进图案化薄膜(advanced patterning film,APF)140例如是可灰化非晶相含碳层(ashable amorphous carbon film),抗反射层150例如是氮氧化硅,但不限于此。材料层160例如是一氮化硅层或一多晶硅层,但不限于此。接着,在材料层160上形成第一图案化掩模层170a和170b。第一图案化掩模层170a位于阵列区101正上方,第一图案化掩模层170b位于周边区102的正上方。形成第一图案化掩模层170a和170b的方法例如全面性地形成一第一掩模材料层(图未示),例如光致抗蚀剂或有机介电层,完全覆盖阵列区101和周边区102的材料层160,然后图案化该第一掩模材料层,形成第一图案化掩模层170a和170b。如顶视图所示,第一图案化掩模层170a和170b包含沿着第二方向Y延伸的线型图案,分别具有间距P1和P2,根据本发明一实施例,间距P1与P2大致相等。根据本发明一实施例,第一图案化掩模层170b还包含长度小于线型图案的线段图案。
接下来的图2至图5说明以第一图案化掩模层170a和170b进行第一自对准双重图案化(self-aligned double patterning,SADP)制作工艺,以将阵列区101和周边区102正上方的材料层160同时图案化成第一阵列图案160a和第一周边图案160b。第一自对准双重图案化制作工艺的步骤包含,首先,请参考图2,形成第一间隙壁材料层172,例如氧化硅,共型地覆盖第一图案化掩模层170a和170b的顶面和侧壁以及显露出来的材料层160。接着,参考图3,形成第一平坦化层182,例如光致抗蚀剂或有机介电层,完全覆盖第一间隙壁材料层172并填满第一间隙壁材料层172之间的间隙,然后以化学机械研磨制作工艺或回蚀刻制作工艺由上往下移除多余的第一平坦化层182至显露出覆盖第一图案化掩模层170a和170b顶部的第一间隙壁材料层172,且需剩余第一平坦化层182填充在第一间隙壁材料层172之间,并且与覆盖第一图案化掩模层170a和170b顶部的第一间隙壁材料层172大致上齐平。然后,请参考图4,移除第一间隙壁材料层172显露的部分至完全显露出第一图案化掩模层170a和170b以及部分材料层160。剩余的第一平坦化层182和第一图案化掩模层170a交替排列,覆盖阵列区101正上方的部分材料层160。剩余的第一平坦化层182和第一图案化掩模层170b替排列,覆盖周边区102正上方的部分材料层160。剩余的第一平坦化层182和第一图案化掩模层170a具有一间距P3,约是间距P1二分之一。第一平坦化层182和第一图案化掩模层170b有一间距P4,约是间距P2的二分之一。当P1与P2大致相等时,P3与P4较佳也大致相等。请参考图5,接着以第一图案化掩模层170a和170b以及剩余的平坦化层182为蚀刻掩模对材料层160进行蚀刻,移除显露出来的材料层160,将阵列区101和周边区102的材料层160分别图案化成第一阵列图案160a和第一周边图案160b。然后,如图6上部顶示图所示,移除剩余的第一图案化掩模层170a和170b和第一平坦化层182,显露出第一阵列图案160a和第一周边图案160b。根据本发明一实施例,第一阵列图案160a包含多条沿着第二方向Y延伸的线型(line-shaped)图案,第一周边图案160b包含一环形图案及其包围一线段图案以及多条沿着第二方向Y延伸的线型(line-shaped)图案。
请参考图7。接着形成第二图案化掩模层190a和190b分别覆盖第一阵列图案160a和第一周边图案160b。第二图案化掩模层190a包含沿着第一方向X延伸的线型图案,具有间距P5,覆盖住部分(即显露出其他部分)第一阵列图案160a。第二图案化掩模层190b则完全覆盖住第一周边图案160b,并未显露出第一周边图案160b的任何部分。形成第二图案化掩模层190a和190b的方法例如全面性地形成一第二掩模材料层(图未示),例如光致抗蚀剂或有机介电层,完全覆盖阵列区101和周边区102的第一阵列图案160a和第一周边图案160b以及显露出来的图案转移层L1,然后图案化该第二掩模材料层,形成第二图案化掩模层190a和190b。
接着的图8至图11说明以第二图案化掩模层190a和190b进行第二自对准双重图案化(self-aligned double patterning,SADP)制作工艺,以将阵列区101正上方的第一阵列图案160a图案化成第二阵列图案160a’。第二自对准双重图案化制作工艺的步骤包含,首先,请参考图8,形成第二间隙壁材料层192,例如氧化硅,共型地覆盖第二图案化掩模层190a和190b的顶面和侧壁以及显露出来的图案转移层L1,然后形成第二平坦化层202,例如光致抗蚀剂或有机介电层,完全覆盖第二间隙壁材料层192并填满第二间隙壁材料层192之间的间隙,再以化学机械研磨制作工艺或回蚀刻制作工艺由上往下移除多余的第二平坦化层202至显露出覆盖第二图案化掩模层190a和190b顶部的第二间隙壁材料层192。阵列区101正上方还包含剩余的第二平坦化层202填充在第二间隙壁材料层192之间,并且与覆盖第二图案化掩模层190a顶部的第二间隙壁材料层192大致上齐平。然后,请参考图9,移除第二间隙壁材料层192显露的部分至完全显露出第二图案化掩模层190a和190b。阵列区101正上方包含剩余的第二平坦化层202图案化掩模层190a交替排列,覆盖住部分第一阵列图案160a和部分图案转移层L1(即显露出其他部分的第一阵列图案160a和图案转移层L1)。周边区102正上方的第一周边图案160b以及图案转移层L1仍然完全被第二图案化掩模层190b覆盖住,并未显露出任何部分。交替排列的第二平坦化层202和第二图案化掩模层190a具有一间距P6,较佳为间距P5的二分之一。接着,请参考图10,以第二图案化掩模层190a和190b和剩余的第二平坦化层202为蚀刻掩模,蚀刻移除第一阵列图案160a显露出来的部分,以将第一阵列图案160a图案化成第二阵列图案160a’。然后,如图11所示,移除剩余的第二图案化掩模层190a和190b和第二平坦化层202,完全显露出第二阵列图案160a’和第一周边图案160b。第二阵列图案160a’包含多个岛状图案,较佳具有相同尺寸,即该些岛状图案在X方向上具有相同宽度,在Y方向上也具有相同宽度。
请参考图12。接着形成第三图案化掩模层212a和212b分别覆盖第二阵列图案160a'和第一周边图案160b。第三图案化掩模层212a完全覆盖住第二阵列图案160a',并且未显露出第二阵列图案160a'的任何部分。第三图案化掩模层212b则包含多个开口图案213,显露出部分第一周边图案160b,例如是第一周边图案160b的环形图案和线段图案的部分区域。形成第三图案化掩模层212a和212b的方法例如全面性地形成一第三掩模材料层(图未示),例如光致抗蚀剂或有机介电层,完全覆盖阵列区101和周边区102的第二阵列图案160a'和第一周边图案160b以及显露出来的图案转移层L1,然后图案化该第三掩模材料层,形成第三图案化掩模层212a和212b。
请参考图13。接着以第三图案化掩模层212a和212b为蚀刻掩模,蚀刻移除第一周边图案160b自开口图案213显露出来的部分,因此将第一周边图案160b图案化成第二周边图案160’,然后移除第三图案化掩模层212a和212b。如图13上部的顶视图所示,第二周边图案160’包含通过移除部分环形图案而形成的弧形图案,以及多个通过开口图案213移除部分线段图案而形成的长度不等的线段图案。
请参考图14。接着以第二阵列图案160a’和第二周边图案160b’为蚀刻掩模对图案转移层L1进行蚀刻,将第二阵列图案160a’和第二周边图案160b’的图案同时往下转移至图案转移层L1中。
请参考图15。接者以图案转移层L1为蚀刻掩模对目标层120进行蚀刻,再将第二阵列图案160a’和第二周边图案160b’的图案转移至目标层120中,分别在阵列区101和周边区102形成目标层图案120a和目标层图案120b。图案化目标层120时可同时在层间介电层110中形成凹陷区域,确保没有残留的目标层120。
以上所述仅为本发明的优选实施例,凡依本发明权利要求所做的均等变化与修饰,都应属本发明的涵盖范围。

Claims (18)

1.一种图案化方法,其特征在于,包含:
提供一基底,包含阵列区和周边区;
在基底上形成一材料层,完全覆盖该阵列区和该周边区;
形成一第一图案化掩模层,覆盖该阵列区和该周边区的部分该材料层;
以该第一图案化掩模层进行一第一自对准双重图案化制作工艺,将该阵列区和该周边区的该材料层分别图案化成一第一阵列图案和一第一周边图案;
形成一第二图案化掩模层,部分覆盖该第一阵列图案,完全覆盖该第一周边图案;
以该第二图案化掩模层进行一第二自对准双重图案化制作工艺,将该第一阵列图案图案化成一第二阵列图案;
形成一第三图案化掩模层,显露部分该第一周边图案,完全覆盖该第二阵列图案;以及
以该第三图案化掩模层为蚀刻掩模蚀刻移除该第一周边图案显露的部分,将该第一周边图案图案化成一第二周边图案。
2.如权利要求1所述的图案化方法,其中该第一图案化掩模层包含多条沿着一第二方向延伸的线形图案,该第二图案化掩模层包含多条沿着一第一方向延伸的线形图案。
3.如权利要求2所述的图案化方法,其中该第一方向与该第二方向垂直。
4.如权利要求2所述的图案化方法,其中该第一方向与该第二方向夹有小于90度的锐角。
5.如权利要求1所述的图案化方法,其中该第一周边图案包含一环形图案以及由该环形图案包围的一线段图案。
6.如权利要求5所述的图案化方法,其中部分该环形图案以及部分该线段图案自该第三图案化掩模层显露出来。
7.如权利要求1所述的图案化方法,其中该第二阵列图案包含多个相同尺寸的岛状图案,该第二周边图案包含多个长度不等的线段图案。
8.如权利要求1所述的图案化方法,其中该第一自对准双重图案化制作工艺包含:
形成一第一间隙壁材料层,共型地覆盖该第一图案化掩模层;
形成一第一平坦化层完全覆盖该第一间隙壁材料层;
回蚀刻该第一平坦化层至显露出该第一间隙壁材料层,剩余的该第一平坦化层填充在该第一间隙壁材料层之间;
移除该第一间隙壁材料层显露的部分,显露出该第一图案化掩模层和部分该材料层;
以该第一图案化掩模层和剩余的该第一平坦化层为蚀刻掩模蚀刻该材料层,在该材料层中形成该第一阵列图案以及该第一周边图案;以及
移除该第一图案化掩模层和剩余的该第一平坦化层。
9.如权利要求8所述的图案化方法,其中该第一平坦化层与该第一图案化掩模层包含光致抗蚀剂或有机介电层。
10.如权利要求8所述的图案化方法,其中该第一间隙壁材料层包含氧化硅。
11.如权利要求1所述的图案化方法,其中该第二自对准双重图案化制作工艺包含:
形成一第二间隙壁材料层,共型地覆盖该第二图案化掩模层;
形成一第二平坦化层完全覆盖该第二间隙壁材料层;
回蚀刻该第二平坦化层至显露出部分该第二间隙壁材料层,剩余的该第二平坦化层填充在该第二间隙壁材料层之间的间隙;
移除该第二间隙壁材料层显露的部分,显露出该第二图案化掩模层和部分该第一阵列图案;
以该第二图案化掩模层和剩余的该第二平坦化层为蚀刻掩模蚀刻该第一阵列图案,形成该第二阵列图案;以及
移除该第二图案化掩模层和剩余的该第二平坦化层。
12.如权利要求11所述的图案化方法,其中该第二平坦化层与该第二图案化掩模层包含光致抗蚀剂或有机介电层。
13.如权利要求11所述的图案化方法,其中该第二间隙壁材料层包含氧化硅。
14.如权利要求1所述的图案化方法,其中该材料层包含氮化硅或多晶硅。
15.如权利要求1所述的图案化方法,其中该第三图案化掩模层包含光致抗蚀剂或有机介电层。
16.如权利要求1所述的图案化方法,另包含:
移除该第三掩模层;以及
以该第二阵列图案和该第二周边图案为蚀刻掩模蚀刻该基底与该材料层之间的一图案转移层进行一蚀刻制作工艺,将该第二阵列图案和该第二周边图案的图案转移至该图案转移层中。
17.如权利要求16所述的图案化方法,另包含:
以该图案转移层为蚀刻掩模蚀刻该基底与该图案转移层之间的一目标层,再将该第二阵列图案和该第二周边图案的图案转移至该目标层中。
18.如权利要求17所述的图案化方法,该目标层包含导电材料。
CN201711326148.4A 2017-12-13 2017-12-13 一种图案化方法 Active CN109920730B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201711326148.4A CN109920730B (zh) 2017-12-13 2017-12-13 一种图案化方法
US16/167,435 US10535530B2 (en) 2017-12-13 2018-10-22 Patterning method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201711326148.4A CN109920730B (zh) 2017-12-13 2017-12-13 一种图案化方法

Publications (2)

Publication Number Publication Date
CN109920730A CN109920730A (zh) 2019-06-21
CN109920730B true CN109920730B (zh) 2021-04-20

Family

ID=66697226

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711326148.4A Active CN109920730B (zh) 2017-12-13 2017-12-13 一种图案化方法

Country Status (2)

Country Link
US (1) US10535530B2 (zh)
CN (1) CN109920730B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10475648B1 (en) 2018-05-01 2019-11-12 United Microelectronics Corp. Method for patterning a semiconductor structure
CN111403269B (zh) * 2019-01-02 2023-03-24 联华电子股份有限公司 图案化结构的制作方法
TWI736317B (zh) * 2020-06-12 2021-08-11 華邦電子股份有限公司 用於黃光製程的辨識方法與半導體元件
CN113097143B (zh) * 2021-03-30 2022-03-08 长鑫存储技术有限公司 掩膜结构、半导体结构及制备方法
US11683926B2 (en) 2021-09-15 2023-06-20 Winbond Electronics Corp. Method of manufacturing semiconductor structure
CN113964089B (zh) * 2021-09-29 2024-05-17 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构
CN114005737A (zh) * 2021-10-13 2022-02-01 长鑫存储技术有限公司 一种半导体结构及半导体结构制作方法
US20230245890A1 (en) * 2022-02-01 2023-08-03 Tokyo Electron Limited Pitch Scaling in Microfabrication
US11830744B1 (en) 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101335182A (zh) * 2007-06-26 2008-12-31 海力士半导体有限公司 在半导体器件中形成细微图案的方法
JP2012519391A (ja) * 2009-03-02 2012-08-23 アプライド マテリアルズ イスラエル リミテッド 類似構造要素を分類するcd計測システム及び方法
CN103390551A (zh) * 2012-05-10 2013-11-13 联华电子股份有限公司 半导体装置图案化结构的制作方法
CN103715068A (zh) * 2012-09-29 2014-04-09 中芯国际集成电路制造(上海)有限公司 半导体精细图案的形成方法
CN106611711A (zh) * 2015-10-22 2017-05-03 中芯国际集成电路制造(北京)有限公司 半导体器件的形成方法
US9741614B1 (en) * 2016-07-11 2017-08-22 United Microelectronics Corp. Method of preventing trench distortion
CN107154345A (zh) * 2016-03-03 2017-09-12 三星电子株式会社 光掩模布图以及形成精细图案的方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100669862B1 (ko) 2000-11-13 2007-01-17 삼성전자주식회사 반도체 장치의 미세패턴 형성방법
US6492073B1 (en) 2001-04-23 2002-12-10 Taiwan Semiconductor Manufacturing Company Removal of line end shortening in microlithography and mask set for removal
US7202148B2 (en) 2004-05-10 2007-04-10 Taiwan Semiconductor Manufacturing Company Method utilizing compensation features in semiconductor processing
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100678003B1 (ko) * 2005-06-08 2007-02-02 동부일렉트로닉스 주식회사 듀얼 다마신 패턴 형성 방법
US7691549B1 (en) 2007-02-15 2010-04-06 Kla-Tencor Technologies Corporation Multiple exposure lithography technique and method
TWI409852B (zh) * 2009-12-31 2013-09-21 Inotera Memories Inc 利用自對準雙重圖案製作半導體元件微細結構的方法
KR102337410B1 (ko) * 2015-04-06 2021-12-10 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US10355110B2 (en) * 2016-08-02 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
US10361080B2 (en) * 2017-07-04 2019-07-23 United Microelectronics Corp. Patterning method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101335182A (zh) * 2007-06-26 2008-12-31 海力士半导体有限公司 在半导体器件中形成细微图案的方法
JP2012519391A (ja) * 2009-03-02 2012-08-23 アプライド マテリアルズ イスラエル リミテッド 類似構造要素を分類するcd計測システム及び方法
CN103390551A (zh) * 2012-05-10 2013-11-13 联华电子股份有限公司 半导体装置图案化结构的制作方法
CN103715068A (zh) * 2012-09-29 2014-04-09 中芯国际集成电路制造(上海)有限公司 半导体精细图案的形成方法
CN106611711A (zh) * 2015-10-22 2017-05-03 中芯国际集成电路制造(北京)有限公司 半导体器件的形成方法
CN107154345A (zh) * 2016-03-03 2017-09-12 三星电子株式会社 光掩模布图以及形成精细图案的方法
US9741614B1 (en) * 2016-07-11 2017-08-22 United Microelectronics Corp. Method of preventing trench distortion

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
刻蚀实现双重图形的前景;Raghu Balasubramanian等;《集成电路应用》;20080405(第04期);全文 *

Also Published As

Publication number Publication date
US20190181014A1 (en) 2019-06-13
CN109920730A (zh) 2019-06-21
US10535530B2 (en) 2020-01-14

Similar Documents

Publication Publication Date Title
CN109920730B (zh) 一种图案化方法
KR101170284B1 (ko) 피치 더블링 프로세스 중에 어레이 피처를 격리시키는 방법 및 격리된 어레이 피처를 갖는 반도체 장치 구조물
US10439048B2 (en) Photomask layout, methods of forming fine patterns and method of manufacturing semiconductor devices
TW200952041A (en) Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US6607955B2 (en) Method of forming self-aligned contacts in a semiconductor device
US7888720B2 (en) Semiconductor device including conductive lines with fine line width and method of fabricating the same
US8216938B2 (en) Method for forming semiconductor device
CN110957320A (zh) 半导体结构、存储器结构及其制备方法
KR101095828B1 (ko) 반도체 소자의 형성 방법
US20230290727A1 (en) Semiconductor devices and methods of manufacturing the same
US11769672B2 (en) Semiconductor structure and forming method thereof
US8071439B2 (en) Method for manufacturing semiconductor device
CN112951720B (zh) 半导体结构的形成方法、半导体器件
KR100475118B1 (ko) 2중 콘택 스페이서를 포함하는 반도체 소자의 제조방법
KR20090059654A (ko) 커패시터, 커패시터를 포함하는 반도체 장치, 커패시터의형성 방법 및 커패시터를 포함하는 반도체 장치의 제조방법
KR102327667B1 (ko) 반도체 소자의 제조 방법
KR101269054B1 (ko) 반도체 소자의 미세 패턴 형성 방법
CN113363142A (zh) 半导体器件的形成方法
JP3599466B2 (ja) 半導体集積回路装置の製造方法
CN113675137A (zh) 半导体结构的形成方法
CN118098936A (zh) 半导体结构的制作方法、掩膜结构及半导体结构
CN117672820A (zh) 半导体结构的形成方法
CN114171592A (zh) 半导体器件及其形成方法
CN118335605A (zh) 半导体结构的制作方法、半导体结构及存储器
KR20100076752A (ko) 반도체 장치 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant