CN1094799C - Ti和W合金上浸渍沉积钯以选择性引发无电沉积制作晶片 - Google Patents

Ti和W合金上浸渍沉积钯以选择性引发无电沉积制作晶片 Download PDF

Info

Publication number
CN1094799C
CN1094799C CN96198978A CN96198978A CN1094799C CN 1094799 C CN1094799 C CN 1094799C CN 96198978 A CN96198978 A CN 96198978A CN 96198978 A CN96198978 A CN 96198978A CN 1094799 C CN1094799 C CN 1094799C
Authority
CN
China
Prior art keywords
metal
wafer
layer
solution
sensitizing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN96198978A
Other languages
English (en)
Other versions
CN1204272A (zh
Inventor
R·R·奥贝勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MacDermid Enthone Inc
Original Assignee
Enthone OMI Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Enthone OMI Inc filed Critical Enthone OMI Inc
Publication of CN1204272A publication Critical patent/CN1204272A/zh
Application granted granted Critical
Publication of CN1094799C publication Critical patent/CN1094799C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1837Multistep pretreatment
    • C23C18/1844Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Abstract

本文涉及一种制造集成电路晶片的方法,其中晶片中具有通道或其它通路,该通路具有屏障层/粘合层或其它金属层,该金属层被金属化,以形成含有活化金属层的电路,然后用优选含有碱性的钯的非氨型氮(乙二胺)配合物的敏化置换组合物,在特别控制的pH下与晶片接触以敏化金属层。用含有配位任何溶解的金属的配位剂的活化溶液活化晶片。敏化溶液也优选含有能配位任何溶解的金属的配位剂,并优选含有辅助配位剂例如EDTA以增溶贱金属(base metal)杂质。

Description

Ti和W合金上浸渍沉积钯以选择性 引发无电沉积制作晶片
发明领域
本发明涉及在金属表面的无电金属沉积,特别是涉及一种用于在硅晶片上形成金属电路的无电金属沉积方法,其中在晶片上具有金属电路图形,在晶片衬底和沉积金属之间包含有金属屏障层。
发明背景
在硅晶片上制作集成电路要使用一系列步骤以制成晶片成品。在部分制备方法中,晶片被沉积一层二氧化硅(SiO2),并且该SiO2或合适的介质被刻蚀,以便在晶片上形成通道或电路通道,该通道基本上是在SiO2层上形成的并在延伸到硅层的通路。然后电路通道被填充以导电金属,以形成完成的电路。已周知,集成电路生产是非常严格和困难的,因为加工方法必须高度可靠且产品晶片基本是零缺陷的。
现有的晶片金属化方案是在通路中的先前涂敷有TiW或其它合适的屏障层/粘合层上使用溅射或蒸发的Al-Cu合金,以填充通路并完成电路。此技术在生产小于2-2.5微米线宽的电路并完全地填充高与宽的纵横比大于1的通道方面存在严重的缺点。在高的纵横比情况下,由不良填充而产生的接缝和空隙不仅产生电的不连续性和高的通道电阻,而且在后续加工步骤中引起杂质的夹带,这又经常会导致可靠性问题。本发明的金属化方案试图消除这些问题并使用化学蒸气沉积(CVD)或溅射Al-Cu作为电路金属,但金属例如铝的沉积涉及许多困难并需要非常细心。也研究过定向的溅射的应用,但特别在小尺寸和高的纵横比情况下控制非常困难。
从电性能考虑,晶片的金属化要求使用各种金属例如镍或优选使用铜,但是这些金属和特别是铜具有许多缺点,例如倾向于通过金属屏障层或粘合层扩散到硅中。刻蚀铜以产生要求的精细的线状电路图形也很困难,并且用于沉积铜的CVD过程遇到涉及选择性和处理温度的许多复杂因素。因此,采用低温湿法处理技术例如无电铜沉积是很有用的,该方法较经济和易于使用。
用无电铜沉积制备电子器件的方法在美国专利No.5,308,796(Feldman等)和由共发明人Cecilia Mak撰写,在MRSBULLETIN/August1994,Vol.XIX,No.8,55-62页发表的题为“在金属和金属硅化物上的无电铜沉积”(Electroless copper deposition onmetals and metal silicides)的有关文章中给出。专利及文章均引此作为参考。一般地是在刻蚀硅晶片上的二氧化硅所刻划出的电路图形上先沉积一薄层催化材料,特别是钯。经退火将邻近硅表面的钯转变为Pd2Si,而在SiO2上的Pd不发生反应。然后用选择性刻蚀除去未反应的Pd,在通道的底部只留下Pd2Si,该物质覆盖硅表面。随后的无电铜沉积只在催化Pd2Si区域内发生。不幸地,这种方法尚有缺点,因为整个晶片必须涂覆以钯层,然后该钯层必须被选择性地刻蚀掉而留下含有Pd2Si的所需电路区域。这是一件困难的工作,且除了在所要求的区域之外,晶片上剩余的钯会造成有害的沉积层和由通道中的空隙和其它沉积问题造成有缺陷的晶片。然后刻蚀溶液必须经废液处理,以回收钯,这又带来不可避免的处理和处置问题。
在Mak的上述文章中,给出的另一种成图形方案是依靠通道底部的薄层钨膜的选择性CVD。通道底部的暴露的硅将沉积的六氟化钨还原成钨金属,该金属薄膜用作后续无电铜沉积的晶核层和扩散屏障层。但是已注意到,此方法在引晶步骤期间,有在钨层下面形成蛀孔似的缺陷的可能,并且完全由硅还原形成的钨膜可能孔太多而不能作为扩散屏障层。
目前,优选制备技术是通过CVD在通道底部形成钨合金膜例如TiW膜,并用CVD技术使通道金属化。一种方法是采用CVD技术用贵金属通常是金来涂覆TiW,该金层作为进一步互连金属化的氧化保护和低电阻接触,但是,本技术尚不十分满意,故期求更有效的技术以使具有钨合金或其它金属屏障层的通道金属化。
无电沉积是通过化学还原在催化表面化学沉积金属或金属混合物,无电金属沉积的组合物和方法在引此作为参考的美国专利No.3,011,920已公开。如果欲沉积金属的衬底是惰性的--即对金属沉积是非催化的,则通常的沉积方法包括预处理以促进清洁和粘合,沉积之前用合适的沉积催化剂处理使衬底催化,以使表面呈催化性以加速本技术接下来的无电金属沉积。
用于无电沉积方法中的商用催化剂包括由基本上摩尔过量的二价锡与钯离子在盐酸溶液中的反应产物。反应产物被认为是锡钯胶体。可以认为,氧化的四价锡与未反应的二价锡与钯离子结合,形成保护性的,可能是聚合的钯或钯-锡合金的配合物,而未反应的二价锡离子作为抗氧剂。
引此参考的美国专利No.3,904,792公开了对胶体锡钯催化的改进。在该专利中,提供了一种催化剂,比上述美国专利No.3,011,920中公开的酸性要低,其中一部分盐酸用可溶金属卤化酸性盐代替,得到具有pH接近3.5的更稳定的催化剂。
在该技术中,已知用由二价锡与贵金属离子的反应产物所形成的催化剂,处理顺序一般包括衬底的催化、通常用酸例如氟硼酸或高氯酸对催化层的加速,和无电金属沉积等步骤。已知加速步骤是用于活化钯催化剂,增强沉积反应的引发,并降低对欲沉积区域全部覆盖所需的沉积时间。
但不幸的是,一般的无电沉积方法不能被用于沉积硅晶片,由于它们缺乏选择性,以致该催化剂将催化整个晶片,除非将催化剂从不需要的区域除去,否则不需要的沉积将产生有缺陷的部分。
为方便起见,下面的描述将针对硅晶片集成电路和钨基合金,特别是TiW合金用作欲无电沉积的金属化屏障层,但是熟知本技术领域的人应明白本发明是针对敏化用于在其上进行无电金属沉积的其它金属和金属合金,这些金属可用于制造集成电路或其它类型的电路或要求金属化的产品。例如,铝被通常用作导体层,该层被金属化以提供成品。铝的一种应用是作芯片连接焊盘例如称为C4焊盘的可控失稳芯片连接焊盘。C4焊盘是支撑将芯片连接到衬底例如薄膜衬底的C4焊料球的微穴。引此作参考中的美国专利No.5,243,140给出了典型的C4焊盘。
考虑到先前技术的问题和缺点,本发明的目的是提供催化钨和钨基合金以及其它金属和金属合金的一种方法,用于在其上进行无电金属沉积,特别是镍和无电铜沉积。
本发明的进一步目的是提供一种用于在钨基合金以及其它金属和金属合金上无电金属沉积例如铜的金属化方法,以制备集成电路晶片,该晶片是高度可靠的,几乎不产生由无电金属沉积方法造成的次品,即使有也极少。
本发明的另一目的是提供一种组合物,以敏化用于无金属沉积的钨和钨基合金以及其它金属和金属合金。
本发明的另一目的,是提供具有增强的金属与金属粘合性及其它特性的制造集成电路的晶片。
本发明的再一个目的,是铝和其它金属焊盘,例如C4焊盘,可以被催化用于无电金属沉积,以作为C4焊接方法的一部分,由此C4焊料凸缘被附着在带中间金属层例如无电沉积镍层的焊盘。
本发明的另一些目的和优点将从说明书中进一步显示出来。
发明内容
在集成电路晶片和其它电子器件的生产中,其中金属被沉积在所要求的屏障金属层上,且基本不敏化和/或不沉积在器件的其它区域,对于用作屏障层/粘合层的金属及金属合金上的选择性无电金属沉积和特别是钨和钨基合金层上的沉积,现已发现本发明的目的和优点包括:
取决于欲沉积的金属,必要时用活化溶液与含金属层的,例如钨基合金的晶片接触,以除去任何氧化层和/或刻蚀金属层。该活化溶液包含能与刻蚀过的金属形成配合物的配位剂,优选含有螫合剂例如乙二胺四乙酸(EDTA)以螯合金属杂质例如铁、镍、铜等;
用敏化置换溶液与活化过的晶片接触,该溶液置换屏障层金属,并以含有催化金属配合物,最好是碱性的钯的非氨型氮配合物的敏化金属来置换它,这种配位剂能与接触时产生的溶解金属形成配合物以催化欲沉积的金属,最好是一种螫合剂如EDTA,以螯合金属杂质例如铁、镍、铜等;
漂洗敏化过的晶片;和
用无电金属沉积浴进行晶片的无电沉积,优选沉积铜。
活化钨和钛及其合金的溶液优选为含具有碱性pH溶液的氟化物。对屏障层或其它金属层例如铝,优选为含HF和/或HCl的酸性蚀刻剂。本发明的一个重要特征是敏化置换溶液优选包含催化金属例如钯,且为非氨型氮配合物的形式,例如钯和乙二胺配合物,并且对Ti和W及其合金,溶液的pH控制在约9-13,优选为10-12,由此得到了增强的衬底敏化度和选择性沉积。对铝金属衬底,更为优选的pH范围为10.5-11。
本发明的实施方法
根据本发明方法,优选被金属化的硅晶片包括具有二氧化硅或其它介电层的硅衬底。二氧化硅层中的通道或其它通路是用扩散金属层例如钨基合金如TiW并采用例如CVD方法来提供的,此层必须进行金属化,通道被填充以提供集成电路晶片所要求的线路。
硅晶片和集成电路芯片的制造在现有技术中是周知的,并在SorabK.Ghandhi,John Wiley & Sons,1983年所著VLSI FabricationPrinciples(Silicon and Gallium Arsenide)中有描述。
一般地,硅晶片上沉积有厚约1-5μm的二氧化硅层,该层经刻蚀成通道或其它通路的形式,并从二氧化硅层延伸到硅层,以提供要求的的电路图形。金属层典型地是钨基合金作为扩散层、屏障层和/或粘合层,并用例如化学蒸气沉积(CVD)的技术沉积在电路通路(通道)底部的硅层上。钨基合金的厚度一般约200-1000埃,但可以有很宽的变化。可用作屏障层/粘合层的金属或合金例子包括TiW,W,Cr,Al和Ta。
本发明的重要特征是在金属化处理期间屏障层的厚度不会受影响,例如厚度减小,否则金属的屏障特性将会降低以至造成例如后续无电金属沉积通过屏障层向硅中扩散及造成有缺陷的晶片。
本发明的起始步骤是根据欲沉积的金属,必要时活化金属屏障层。不管是何金属屏障层,活化是特别优选的,且此步骤对合金例如TiW是必要的,因它在表面上形成氧化物或其它膜,对其它合金也许是不需要的,这取决于它们的物理和/或化学性质。如果需要活化,活化溶液大致是一种能除去氧化层和/或溶解(蚀刻)金属的溶液,以形成清洁且无氧化物的表面。溶液可以是酸性或碱性的,对沉积有SiO2的具有Ti/W屏障层的硅晶片优选碱性溶液,对铝屏障层晶片优选酸性溶液。优选的碱性活化溶液含有由盐例如0.5-3%(重量)或更高的NaF的溶液提供的氟离子,其它活化剂包括铵和钠的二氟化物。酸性刻蚀剂可以含有例如HF或HBF4。优选使用螫合剂例如EDTA,其量约1g/l或更高。其它螫合剂可以选自广泛的物质例如乳酸,苹果酸和那些含有阴离子例如醋酸根、柠檬酸根、乙醇酸根、焦磷酸根等的物质。活化溶液一般在温度高达80℃或更高下使用,对于铝优选为25-50℃,活化时间为10-60秒或更长。
活化步骤后最好不漂洗晶片,而将活化的晶片直接从活化步骤转入钯敏化置换配合物溶液。
敏化溶液一般称为置换溶液,并可以含有任何催化金属例如钯和镍,并优选钯。理论上认为催化金属例如钯是呈配合物的形式,且优选配合物为氮配合物(非氨配合物)例如特别优选乙二胺钯配合物,如在“贵金属科学和技术”(Precious Metals Scienceand Technology),Benner,Suzuki,Meyuro和Tanaka,Publ.International PreciousInst.,1991中描述的,该出版物列此以作参考。配合物化学式为PdCl2(C2H8N2),并可通过混合K2PdCl4与乙二胺(ED)并加热溶液来制备。尽管在敏化溶液中最好保持较低的自由ED,但通常对敏化溶液优选的ED与Pd的摩尔比大于约4∶1,更优选约4∶1至5∶1,如约5∶1,并可更高,敏化溶液中催化金属的量一般约为0.1-10%(重量),优选0.5-3%(重量)。
钯敏化溶液也优选含有配位剂例如NaF形式的氟离子,以络合从金属/氧化物层中除去的金属离子。用量可以在约1-5%的宽范围内变化,优选一般使用2-4%(重量)NaF。溶液中还优选含有配位剂例如EDTA和螯合剂,例如那些上述用于刻蚀溶液中的螯合剂,其量约为1g/l或更高,以螯合杂质离子例如铁、镍和铜。
当在Ti/W合金屏障层上使用优选的ED/Pd配合物时,假定发生下列反应:
本发明的重要特征是浸渍沉积溶液的pH特别控制在9-13的范围,优选pH为10-12,通常用酸例如稀HCl或碱例如NaOH调节pH到要求的水平。对敏化铝,pH为10.5-11是特别优选的。已发现,敏化溶液的pH对控制置换反应是重要的,在上述范围之外的值,则不能提供集成电路制造所需的可靠性。
如果必要,前述已活化的金属化晶片与上述钯催化配合物敏化剂溶液接触以催化金属屏障层。通常,钯配合物溶液的使用温度对Ti/W合金约为25-80℃,对铝为25-60℃,接触时间为10-60秒,优选10-30秒。
本发明的一个重要益处是,在浸入钯配合物溶液后,金属化的晶片不需进一步处理,而在先技术中,通常要用还原剂溶液将钯离子还原为钯金属。晶片被催化后加以漂洗并备用于无电金属沉积。已周知,无电金属沉积方法典型地采用含PdCl2-SnCl2-HCl的催化剂溶液,由于锡的还原作用,该催化剂在欲沉积表面提供金属钯。这些溶液不能在本发明中使用,由于它们有限的操作范围会造成生产可靠性问题,这些溶液浴在美国专利3,011,920中有示例。使用催化置换配合物溶液例如ED-Pd配合物对敏化金属层提供了高选择性,而不会对电子器件其余部分例如对二氧化硅的显著的敏化,并提供了大的操作范围,其浸渍时间和温度可以有较大的变化,而不会由于在器件不需要的区域发生沉积而造成有缺陷的晶片。
无电铜浴在工业上是熟知的,且任何无电铜浴均可用于本发明方法中。尽管无电铜是优选的金属,但其它无电浴例如无电镍、Ni-Co、Co等也可以使用。为方便起见,下列描述将针对无电铜沉积浴。
明确地说,无电铜浴含有:1)铜离子源,2)还原剂例如甲醛,3)酸或氢氧化物调节剂,以提供所要求的pH和4)足以防止金属离子在溶液中沉淀的金属离子配位剂。用于沉积无电铜的组合物在美国专利4,171,225中有描述,该专利引此作参考。
如果要用无电镍浴,在美国专利2,690,401;2,690,402;2,762,723;2,935,425;和3,338,726中有示例。沉积铜和镍的其它有用的组合物在63rd Guide Book and Directory Issue of the MetalFinishing for January 19,1995,Vol.93,No.1A,ElsevierSceince,Inc.,402页中有描述。前述专利和出版物引此作参考。
根据用无电铜或是用无电镍来沉积晶片的金属化层,其沉积条件可依沉积速率和要求的沉积类型而变化。对用酸浴的无电铜,一般使用的温度约50-70℃,时间高达60分钟,通常为20-40分钟。对无电镍浴,无论碱性或酸性,常用浸渍时间约10-60分钟,优选15-25分钟,温度约60-85℃。
本领域技术人员均知晓,无电金属沉积的速率会受各种因素影响,包括1)沉积溶液的pH,2)还原剂的浓度,3)沉积浴的温度,4)可溶金属的浓度,5)浴体积与沉积面积之比,6)速率促进剂的存在,7)润湿剂和/或搅拌的存在,且提供上述参数只是为实践本专利给出一般指导。
本发明的组合物和方法将用下列实施例更全面地阐述,这些实例是说明性的,绝非对所有的以重量计的百分比和以摄氏计的温度加以限制,除非另加说明。
实施例
直径为6英寸和含有许多分开的芯片的硅晶片,其上有二氧化硅沉积层,该沉积层被刻蚀形成要求的电路图形作为通道。晶片用CVD方法金属化,在刻蚀过的通道的底部沉积厚度为约500埃的TiW金属化层。晶片的TiW层经浸入80℃的10g/lNaF溶液中40秒被活化。活化的晶片未经漂洗而直接转移到含5g/l钯的钯催化剂敏化剂溶液中,其中钯是以ED/钯配合物的形式存在,且ED/Pd的摩尔比为4∶1,含NaF 20g/l和EDTA 1g/l。溶液的pH保持在10.5-11,且晶片浸入80℃溶液中30秒。浸渍之后,该晶片在去离子水中彻底漂洗,用商用的碱性无电镍浴沉积金属。晶片浸入85℃浴中40分钟以沉积金属,并生成具有金属与金属粘合沉积的、并通过粘贴带刻痕检验的商用可接受的沉积有金属的晶片产品。沉积的形态与用通常沉积方法得到的沉积的形态相似。
尽管本发明以结合优选实施方案进行了具体描述,但显然,根据前述,许多替换、修改和变化对本领域技术人员将是显而易见的。因此期望,附后的权利要求将包含符合本发明的原理和实质范围的任何这类替换、修改和变化。

Claims (13)

1.一种在制造沉积有介质材料层的硅集成电路晶片中所使用的Ti、W、Ti/W合金、铝或铝合金金属层上进行无电金属沉积的方法,该方法包括:
在晶片的介质层中以通道或其他通路的形式形成所要求的电路图形,该通道或其他通路由介质层延伸到硅层;
在通道或其他通路中的硅层上沉积金属层;
通过用活化溶液与晶片接触以活化晶片的金属层,该活化溶液除去金属层氧化物和/或刻蚀金属表面,该活化溶液是酸性或是碱性的并包含一种配位剂,以与在活化步骤期间可以被除去的金属形成配合物;
通过使含有敏化金属非氨型氮配合物以敏化金属层的碱性敏化溶液组合物与晶片接触,以敏化晶片的活化金属层;和
通过使无电金属沉积溶液与晶片接触,以在晶片上的敏化过的金属层上进行无电金属沉积,其中金属沉积在敏化过的金属层上,而基本不沉积在晶片的其他区域。
2.权利要求1的方法,其中待沉积的金属层是Ti、W或Ti/W合金。
3.权利要求2的方法,其中活化溶液也含有螯合剂以螯合金属杂质。
4.权利要求3的方法,其中螯合剂螯合的金属为铁、镍和铜。
5.权利要求3的方法,其中活化溶液为碱性的。
6.权利要求5的方法,其中活化溶液含有氟化物离子作为配位剂。
7.权利要求6的方法,其中敏化金属是钯。
8.权利要求7的方法,其中敏化溶液的pH约为10-12。
9.权利要求8的方法,其中配合物是钯-乙二胺配合物。
10.权利要求9的方法,其中乙二胺与钯的摩尔比约为4∶1-5∶1。
11.权利要求1的方法,其中待沉积的金属层是铝,活化溶液是酸性的。
12.权利要求11的方法,其中敏化组分是钯非氨氮配合物。
13.权利要求12的方法,其中敏化溶液的pH约为10.5-11,配合物为钯-乙二胺配合物。
CN96198978A 1995-12-15 1996-12-11 Ti和W合金上浸渍沉积钯以选择性引发无电沉积制作晶片 Expired - Fee Related CN1094799C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/573,370 US6261637B1 (en) 1995-12-15 1995-12-15 Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US08/573,370 1995-12-15

Publications (2)

Publication Number Publication Date
CN1204272A CN1204272A (zh) 1999-01-06
CN1094799C true CN1094799C (zh) 2002-11-27

Family

ID=24291717

Family Applications (1)

Application Number Title Priority Date Filing Date
CN96198978A Expired - Fee Related CN1094799C (zh) 1995-12-15 1996-12-11 Ti和W合金上浸渍沉积钯以选择性引发无电沉积制作晶片

Country Status (10)

Country Link
US (1) US6261637B1 (zh)
EP (1) EP0866735B1 (zh)
JP (1) JP2000502211A (zh)
KR (1) KR20000064359A (zh)
CN (1) CN1094799C (zh)
AT (1) ATE225213T1 (zh)
AU (1) AU1150397A (zh)
CA (1) CA2238490A1 (zh)
DE (1) DE69624130T2 (zh)
WO (1) WO1997022419A1 (zh)

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU3553599A (en) * 1998-04-13 1999-11-01 Acm Research, Inc. Method and apparatus for enhancing adhesion between barrier layer and metal layer formed by plating
JP2000212754A (ja) * 1999-01-22 2000-08-02 Sony Corp めっき方法及びその装置、並びにめっき構造
US6457234B1 (en) * 1999-05-14 2002-10-01 International Business Machines Corporation Process for manufacturing self-aligned corrosion stop for copper C4 and wirebond
US20020152955A1 (en) * 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6472310B1 (en) * 2002-04-08 2002-10-29 Advanced Micro Devices, Inc. Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
TW575913B (en) * 2002-12-03 2004-02-11 Univ Feng Chia Replacement deposition method of conductive wire molding
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
JP2006009130A (ja) * 2004-06-29 2006-01-12 Ebara Corp 基板処理方法及び装置
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
FR3013995A1 (fr) * 2013-11-29 2015-06-05 Commissariat Energie Atomique Procede ameliore de metallisation d'un materiau poreux
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
JP6169500B2 (ja) * 2014-01-31 2017-07-26 東京エレクトロン株式会社 無電解めっき方法、無電解めっき装置および記憶媒体
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4122215A (en) * 1976-12-27 1978-10-24 Bell Telephone Laboratories, Incorporated Electroless deposition of nickel on a masked aluminum surface
US5041356A (en) * 1984-03-31 1991-08-20 Dai Nippon Insatsu Kabushiki Kaisha Process for preparing optical recording material
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2694017A (en) * 1952-09-16 1954-11-09 Gen American Transporation Cor Process of chemical nickel plating of aluminum and its alloys and baths therefor
GB796993A (en) * 1953-10-03 1958-06-25 Emi Ltd Improvements relating to electrically-operated two state devices especially for storing binary digital data
US3011920A (en) 1959-06-08 1961-12-05 Shipley Co Method of electroless deposition on a substrate and catalyst solution therefor
US3682671A (en) * 1970-02-05 1972-08-08 Kollmorgen Corp Novel precious metal sensitizing solutions
US3904792A (en) 1972-02-09 1975-09-09 Shipley Co Catalyst solution for electroless metal deposition on a substrate
US4035227A (en) * 1973-09-21 1977-07-12 Oxy Metal Industries Corporation Method for treating plastic substrates prior to plating
DE2659680C2 (de) * 1976-12-30 1985-01-31 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zum Aktivieren von Oberflächen
JPS5393129A (en) * 1977-01-28 1978-08-15 Hitachi Ltd Nonnelectrolytic palladium activated liquid
JPS53147706A (en) * 1977-05-30 1978-12-22 Hitachi Ltd Method of plating ceramics
US4235648A (en) * 1979-04-05 1980-11-25 Motorola, Inc. Method for immersion plating very thin films of aluminum
US4900398A (en) * 1989-06-19 1990-02-13 General Motors Corporation Chemical milling of titanium
US5167992A (en) * 1991-03-11 1992-12-01 Microelectronics And Computer Technology Corporation Selective electroless plating process for metal conductors
DE69226411T2 (de) 1991-09-30 1998-12-24 At & T Corp Herstellung eines leitenden Gebietes in elektronischen Vorrichtungen
US5243140A (en) 1991-10-04 1993-09-07 International Business Machines Corporation Direct distribution repair and engineering change system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4122215A (en) * 1976-12-27 1978-10-24 Bell Telephone Laboratories, Incorporated Electroless deposition of nickel on a masked aluminum surface
US5041356A (en) * 1984-03-31 1991-08-20 Dai Nippon Insatsu Kabushiki Kaisha Process for preparing optical recording material
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston

Also Published As

Publication number Publication date
ATE225213T1 (de) 2002-10-15
KR20000064359A (ko) 2000-11-06
JP2000502211A (ja) 2000-02-22
EP0866735A1 (en) 1998-09-30
DE69624130D1 (de) 2002-11-07
US6261637B1 (en) 2001-07-17
CN1204272A (zh) 1999-01-06
CA2238490A1 (en) 1997-06-26
EP0866735A4 (en) 1999-03-24
DE69624130T2 (de) 2003-09-11
WO1997022419A1 (en) 1997-06-26
AU1150397A (en) 1997-07-14
EP0866735B1 (en) 2002-10-02

Similar Documents

Publication Publication Date Title
CN1094799C (zh) Ti和W合金上浸渍沉积钯以选择性引发无电沉积制作晶片
US5169680A (en) Electroless deposition for IC fabrication
US6824665B2 (en) Seed layer deposition
US6180523B1 (en) Copper metallization of USLI by electroless process
US5380560A (en) Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US6436816B1 (en) Method of electroless plating copper on nitride barrier
US6899816B2 (en) Electroless deposition method
US6797312B2 (en) Electroless plating solution and process
JP3332668B2 (ja) 半導体装置の配線形成に用いる無電解めっき浴及び半導体装置の配線形成方法
US6905622B2 (en) Electroless deposition method
US7407605B2 (en) Manufacturable CoWP metal cap process for copper interconnects
US6585811B2 (en) Method for depositing copper or a copper alloy
US4297393A (en) Method of applying thin metal deposits to a substrate
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
WO2006065221A1 (en) Stabilization amd performance of autocatalytic electroless processes.
KR100619345B1 (ko) 반도체 패키지용 인쇄회로기판의 도금층 형성방법 및이로부터 제조된 인쇄회로기판
KR100426209B1 (ko) 반도체 배선용 구리막 형성방법
EP1022355B1 (en) Deposition of copper on an activated surface of a substrate
JP4343366B2 (ja) 基質活性面上の銅析出
KR100430949B1 (ko) 무전해 은 도금액 및 이를 이용한 금속 배선 형성방법
EP0806497A1 (en) Method for depositing copper or a copper alloy on an aluminium containing substrate
CN104716089A (zh) 在金属层上进行无电金属沉积的方法及应用
KR20030091478A (ko) 반도체 배선용 구리 박막 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20021127

Termination date: 20100111