CN108984822A - 用于确定是否对掩模结构进行订制的方法、非暂时性计算机可读介质和/或装置 - Google Patents

用于确定是否对掩模结构进行订制的方法、非暂时性计算机可读介质和/或装置 Download PDF

Info

Publication number
CN108984822A
CN108984822A CN201810536001.6A CN201810536001A CN108984822A CN 108984822 A CN108984822 A CN 108984822A CN 201810536001 A CN201810536001 A CN 201810536001A CN 108984822 A CN108984822 A CN 108984822A
Authority
CN
China
Prior art keywords
focus
emulation
value
revised
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810536001.6A
Other languages
English (en)
Other versions
CN108984822B (zh
Inventor
金成钟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108984822A publication Critical patent/CN108984822A/zh
Application granted granted Critical
Publication of CN108984822B publication Critical patent/CN108984822B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/10Numerical modelling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种用于使用处理器确定是否对掩模结构进行订制的方法可以包括:获取EUV图案布局的仿真结果;确定相关性参数(CP);生成预测晶片工艺窗口;以及基于CP和预测晶片工艺窗口确定掩模结构适合于订制。处理器可以基于加权值以及仿真结果中的仿真焦深(DOF)、仿真能量容忍度(EL)、仿真线边缘粗糙度区域参数和仿真线边缘粗糙度宽度参数来确定CP。CP可以指示EUV图案布局的仿真结果与EUV图案布局的实际晶片结果之间的相关性。预测晶片工艺窗口可以由处理器基于CP而生成。预测晶片工艺窗口可以指示EUV图案布局的实际晶片结果是否包括图案化缺陷。

Description

用于确定是否对掩模结构进行订制的方法、非暂时性计算机 可读介质和/或装置
相关申请的交叉引用
本申请要求于2017年6月2日递交的美国临时专利申请第62/514,082号和于2018年5月23日递交的美国非临时申请第15/987,238号的优先权,其全部内容通过引用并入本文。
技术领域
本公开涉及一种用于确定是否对用于图案化工艺的掩模结构进行订制的方法和/或装置,和/或更具体地涉及一种用于确定是否对用于远紫外(EUV)图案化工艺的掩模结构进行订制的方法。
背景技术
半导体行业正研究EUV图案化作为下一代光刻技术。目前正在进行光致抗蚀剂开发以支持EUV图案化。作为开发EUV图案化工艺的一部分,可以使用计算技术来对EUV图案化工艺进行仿真。如果EUV图案化工艺的仿真满足仿真成功标准,则可以基于EUV图案化仿真来对掩模结构进行订制。然后,可以通过形成实际的抗蚀剂图案来测试EUV图案化工艺。
另一方面,如果实际的抗蚀剂图案的结果与EUV图案化仿真没有很好地相关,则可以改变EUV图案化工艺的仿真。在改变EUV图案工艺的仿真之后,可以基于改变的EUV图案化仿真来对不同的掩模进行订制。然后,可以测试不同的掩模以形成另一抗蚀剂图案。
线边缘粗糙度(LER)可以是用于确定EUV工艺中的抗蚀剂工艺窗口的因素。已经引入了一个名为随机边缘放置误差(SEPE)的概念;然而,SEPE不能预测用于在晶片上令人满意地形成实际抗蚀剂图案的工艺窗口。
发明内容
一些示例性实施例涉及一种用于使用处理器根据仿真结果预测EUV图案化余量的改进方法。
一些示例性实施例涉及一种用于使用处理器确定是否对掩模结构进行订制的方法。
根据一些示例性实施例,提供一种用于使用处理器确定是否对掩模结构进行订制的方法。所述方法可以包括:获取EUV图案布局的仿真结果;使用处理器确定相关性参数(CP);使用处理器基于CP生成预测晶片工艺窗口;以及基于CP和预测晶片工艺窗口确定掩模结构适合于订制。仿真结果可以包括仿真焦深(DOF)、仿真能量容忍度(EL)、小于或等于第一阈值的仿真线边缘粗糙度(LER)区域参数以及小于或等于第二阈值的仿真LER宽度参数。仿真LER区域参数可以指示EUV图案布局的仿真结果中的一个或多个区域特征的粗糙度。仿真LER宽度参数可以指示EUV图案布局的仿真结果中的一个或多个线特征的粗糙度。可以使用处理器基于仿真DOF、仿真EL、仿真LER区域参数、仿真LER宽度参数以及用于仿真结果的加权值来确定CP。CP可以指示EUV图案布局的仿真结果与EUV图案布局的实际晶片结果之间的相关性。可以使用处理器基于CP来生成预测晶片工艺窗口。预测晶片工艺窗口可以指示EUV图案布局的实际晶片结果是否包括图案化缺陷。
一些示例性实施例涉及一种存储指令的非暂时性计算机可读介质,所述指令在由处理器执行时使所述处理器控制电子设备执行上述方法中的一种或多种。
根据一些示例性实施例,一种非暂时性计算机可读介质可以存储计算机可执行指令,所述计算机可执行指令在由处理器执行时使所述处理器执行用于使用电子装置确定是否对掩模结构进行订制的操作。所述操作可以包括:使用电子装置获取EUV图案布局的仿真结果;使用电子装置确定相关性参数(CP);基于CP使用电子装置生成预测晶片工艺窗口;以及基于CP和预测晶片工艺窗口确定掩模结构适合于订制。仿真结果可以包括仿真焦深(DOF)、仿真能量容忍度(EL)、小于或等于第一阈值的仿真线边缘粗糙度(LER)区域参数以及小于或等于第二阈值的仿真LER宽度参数。可以基于仿真DOF、仿真EL、仿真LER区域参数、仿真LER宽度参数以及用于仿真结果的加权值来确定CP。CP可以指示EUV图案布局的仿真结果与EUV图案布局的实际晶片结果之间的相关性。预测晶片工艺窗口可以指示EUV图案布局的实际晶片结果是否包括图案化缺陷。
根据一些示例性实施例,提供一种用于确定是否对掩模结构进行订制的装置。所述装置可以包括耦接到存储器的处理器。响应于执行从存储器接收的指令,处理器可以被配置为基于仿真焦深(DOF)、仿真能量容忍度(EL)、仿真线边缘粗糙度(LER)区域参数、仿真LER宽度参数和加权值来确定相关性参数(CP)。仿真DOF、仿真EL、仿真LER区域参数、仿真LER宽度参数可以基于EUV图案布局的仿真结果。CP可以指示EUV图案布局的仿真结果与EUV图案布局的实际晶片结果之间的相关性。处理器可以被配置为基于CP生成预测晶片工艺窗口。预测晶片工艺窗口可以指示EUV图案布局的实际晶片结果是否包括图案化缺陷。处理器可以被配置为基于CP和预测晶片工艺窗口来确定掩模结构是否适合于订制。
特征和效果并不限于以上阐述的那些,并且根据以下描述,本领域技术人员将清楚地理解除上述之外的其他特征和效果。
附图说明
通过参考附图详细描述本发明构思的示例性实施例,本发明构思的上述和其他目的、特征和效果对于本领域普通技术人员来说将变得更加清楚。
图1是来自EUV图案布局的仿真结果的信息的示例图;
图2是用于EUV工艺的实际晶片结果的半隔离图案的工艺窗口的示意图;
图3是根据一些示例性实施例的用于确定是否对掩模结构进行订制的方法的流程图;
图4A至图4C是根据一些示例性实施例的用于确定补偿因子和加权值的示意图;
图5是对应于晶片工艺窗口的区域的示意图;
图6是示出在晶片上形成的EUV图案的EpPix值与FEM余量结果之间的关系的图表;
图7是根据一些示例性实施例的使用处理器来制备EUV掩模结构的流程图;
图8A、图8B和图8C是根据一些示例性实施例的使用处理器的源掩模优化(SMO)的流程图;
图9是根据一些示例性实施例的用于使用处理器来确定是否对EUV掩模结构进行订制的装置;
图10是根据一些示例性实施例的包括图9中的装置和用于形成掩模结构的装置在内的***的示意图;以及
图11是示出根据一些示例性实施例的EUV图案化工艺的示意图。
具体实施方式
除非另外定义,否则本说明书中使用的所有术语(包括技术术语和科学术语)都可以用作能够被示例性实施例所属技术领域的普通技术人员普遍理解的含义。另外,除非另外特别定义,否则通常使用和预定义的术语不能被理想地或不适当地解释。
作为开发EUV图案化工艺的一部分,可以使用计算技术来生成EUV图案布局的仿真结果。EUV图案布局的仿真结果可以包括仿真工艺窗口。例如,EUV图案的仿真结果可以包括仿真焦深(DOF)、仿真能量容忍度(EL)、仿真线边缘粗糙度(LER)区域参数和仿真LER宽度参数。能量容忍度EL可以被称为曝光宽容度(exposure latitude)。仿真DOF可以是指在EUV图案化工艺期间允许的用于在期望的规格(例如,目标范围内的特征的CD、EL等)内形成图案化特征的焦点范围。仿真EL可以是如下参数,该参数指示针对仿真EUV工艺的仿真剂量的给定变化,图案化特征的CD的变化。仿真LER区域参数可以指示EUV图案布局的仿真结果中的一个或多个区域特征的粗糙度。仿真LER宽度参数可以指示EUV图案布局的仿真结果中的一个或多个线特征的粗糙度。
根据期望标准,EUV图案布局的仿真结果可以被认为是合适的仿真结果。用于EUV图案布局的仿真结果的期望标准可以基于在晶片上的实际EUV图案化工艺期间哪个工艺窗口获得了图案化特征的期望临界尺寸(CD)控制来确定。例如,期望标准可以包括期望的仿真DOF、期望的仿真EL、小于或等于第一阈值的期望的仿真LER区域参数以及小于或等于第二阈值的仿真LER宽度参数;然而,示例性实施例不限于此。
图1是来自EUV图案布局的仿真结果的信息的示例图。
参考图1,信息的示例图可以包括用于EUV图案化工艺的剂量[%]和焦点[nm]条件的仿真工艺窗口。仿真工艺窗口可以包括用于锚点图案和半隔离图案的工艺窗口信息。
在图1中,可以使用本领域中使用的各种计算光刻软件(诸如来自BrionTechnologies的Tachyon NXE软件包)以及使用提供给被配置为执行计算光刻软件的计算机的EUV布局来生成仿真工艺窗口。仿真LER区域参数可以是小于或等于第一阈值的随机边缘放置误差(SEPE)带空间。仿真LER宽度参数可以是小于或等于第二阈值的SEPE带宽。例如,在图1中,SEPE带空间和SEPE带宽可以是51.4nm和37.5nm,它们可以小于或等于基于期望标准设置的第一阈值和第二阈值。
在图1所示的示例中,在5%的EL下,用于半隔离图案的仿真工艺窗口可以具有大于140nm的DOF。例如,仿真工艺窗口可以具有在5%的EL下188nm的DOF以及基于针对仿真EUV图案布局的仿真工艺窗口而设置的标准是合适的SEPE带空间和带宽。图1中的DOF、EL、SEPE带空间、SEPE带宽等的值是非限制性示例,用于不同EUV布局的仿真工艺窗口可以具有DOF、EL、SEPE带空间、SEPE带宽等的其他值。此外,仿真工艺窗口可以包括用于隔离图案——而非半隔离图案,或者除半隔离图案之外——的参数。
尽管基于EUV图案布局的仿真结果的工艺窗口可以预测合适的工艺窗口,但是在仿真结果与使用从仿真开发出的掩模的晶片上的EUV图案化工艺的实际工艺窗口之间可能存在差异。例如,使用从仿真结果开发的掩模的EUV图案化工艺的晶片上的晶片结果可能没有合适的工艺窗口。
图2是用于EUV工艺的实际晶片结果的半隔离图案的工艺窗口的示意图。
参考图2,图2中的示意图表示使用从图1中所讨论的EUV图案布局的仿真结果而开发的掩模的EUV图案化工艺的焦点曝光度量(FEM)余量图。
在图2中,最佳剂量和最佳焦点(BDBF)条件可以从晶片上待形成的锚点图案来确定。可以从EUV图案化工艺中待形成的图案中包括要在晶片上图案化的最困难的特征在内的一个图案来选择锚点图案。例如,锚点图案可以是与所有待形成的图案相比具有最小间距尺寸的图案。
在图2中,FEM结果包括基于EUV图案布局的仿真结果以及在改变焦点和剂量条件的情况下、使用掩模和EUV图案化工艺在晶片上形成实际抗蚀剂图案的结果。0nm的焦点和0mJ/cm2的剂量可以对应于BDBF条件。-1/1、-2/2和-3/3[mJ/cm2]的剂量步程可以是指通过分别加或减第一间隔、第一间隔的两倍和第一间隔的三倍的量而不同于BDBF剂量的剂量条件。类似地,在图2中,-20nm/20nm、-40nm/40nm和-60nm/60nm的焦点值可以是指从BDBF条件以20nm、40nm和60nm的量各自变化的焦点条件。
可以检查晶片上形成的抗蚀剂图案的缺陷,例如超过第一阈值(例如,第一值)的LER区域、超过第二阈值(例如,第二值)的LER宽度和/或其他图案化缺陷(例如桥、形成图案的失败等)。FEM结果上的黑色矩形标识出对于给定焦点和剂量步程具有图案化缺陷的抗蚀剂图案。例如,在图2中,在-20nm的焦点以及-1、0和1mJ/cm2的剂量步程处形成的抗蚀剂图案具有缺陷。另外,在0mJ/cm2的剂量步程以及-60nm和-40nm的焦点处形成的抗蚀剂图案具有缺陷。在图2中,未被黑色矩形包围的抗蚀剂图案是未观察到图案化缺陷的抗蚀剂图案。例如,在用0nm至60nm的焦点和-3至3mJ/cm2的剂量步程形成的抗蚀剂图案上未观察到图案化缺陷。
图2中的FEM结果示出了实际晶片结果与图1中讨论的EUV图案布局的仿真结果中的仿真工艺窗口不相关。基于图1中的EUV图案布局的仿真结果,对于用于制备图2中的FEM结果的焦点和剂量步程条件的范围,不期望图案化缺陷;然而,如图2所示,对于图2所示的一些焦点和剂量步程条件,标识出了图案化缺陷。
因为EUV图案布局的仿真结果(参见,例如,图1)与使用从仿真开发的掩模的晶片上的EUV图案化工艺的实际工艺窗口(参见,例如,图2)之间可能存在差异,所以可以基于EUV图案布局的仿真结果来对掩模进行订制;然而,掩模可能不适合实际的EUV图案化工艺,因为掩模可能导致不可接受水平的图案化缺陷。因此,对不适合于实际EUV图案化工艺的掩模进行订制会浪费时间和费用。
根据一些示例性实施例,可以执行用于使用处理器确定是否对掩模结构进行订制的方法以限制和/或防止对不适合于实际EUV图案化工艺的掩模进行订制。用于确定是否对掩模结构进行订制的方法可以用于预测基于EUV图案布局的可接受仿真结果而订制的掩模是否会是用于晶片上的实际EUV图案化工艺的合适掩模。如果实际EUV图案化工艺不会在EUV图案化工艺的工艺窗口上引起不可接受量的图案化缺陷,则该掩模可以是合适的掩模。根据一些示例性实施例,用于使用处理器确定是否对掩模结构进行订制的方法可以包括用于使用处理器来预测EUV图案化余量的方法。
图9是根据一些示例性实施例的用于确定是否对EUV掩模结构进行订制的装置。
参考图9,在一些示例性实施例中,装置900可以包括通过总线910可操作地彼此连接的输入/输出设备920(例如,键盘和/或触摸屏)、存储器930、接口940、处理器950和电源960。总线910对应于数据、指令和/或命令沿其移动的路径。电源960可以为装置900的整体操作供电。
处理器950可以包括诸如微处理器、微控制器和/或能够执行类似功能的逻辑设备中的至少一个之类的硬件。输入/输出设备920可以包括诸如键区、键盘、显示器等的硬件。存储器930可以是非暂时性计算机可读存储介质(例如,诸如闪存的非易失性存储器或诸如DRAM的易失性存储器)。存储器930可以被配置为存储数据和/或命令。接口940可以将数据传输到通信网络或从通信网络接收数据。接口940可以是有线或无线的。例如,接口940可以包括天线或有线/无线收发器。接口940可以包括用于接收存储在存储棒上的数据的端口。
存储器930可以存储指令,当指令由处理器950执行时使得处理器950执行各种方法的一个或多个操作和/或控制装置900执行各种方法的一个或多个操作,方法的示例如参考图3、图4A、图4B、图4C、图5、图7、图8A和/或图8B所述。
图3是根据一些示例性实施例的用于使用处理器(诸如图9中的处理器950)确定是否对掩模结构进行订制的方法的流程图。
参考图3和图9,在操作S310中,处理器950可以获取EUV图案布局的仿真结果。仿真结果可以基于EUV图案布局的预光学邻近校正布局(pre-OPC)和/或校准模型。处理器950可以从存储器930获取EUV图案布局的仿真结果。存储器930可以响应于仿真结果加载到存储器930中而通过输入/输出设备920和/或接口940接收仿真结果。替代地,处理器950可以基于从存储器930接收到的EUV图案布局并且响应于执行从存储器930接收到的用于执行生成仿真结果的操作的指令而生成EUV图案布局的仿真结果。
EUV图案布局的仿真结果可以包括仿真DOF、仿真EL、仿真LER区域参数和仿真LER宽度参数。基于满足期望的标准(例如,小于或等于第一阈值的期望的仿真LER区域参数、以及小于或等于第二阈值的仿真LER宽度参数等),EUV图案布局的仿真结果可以被视为合适的仿真结果。此外,仿真LER区域参数可以是小于或等于第一阈值的随机边缘放置误差(SEPE)带空间,并且仿真LER宽度参数可以是小于或等于第二阈值的SEPE带宽。处理器950可以根据本领域已知的方法生成包括仿真DOF、仿真EL、仿真LER区域参数(例如,SEPE带空间)和仿真LER宽度参数(例如,SEPE带宽)在内的EUV图案布局的仿真结果。
在操作S320中,处理器950可以基于仿真DOF、仿真EL、仿真LER区域参数、仿真LER宽度参数以及用于仿真结果的加权值w来确定相关性参数(CP)。加权值w可以基于经验研究来确定,并且可以基于个案来修改。加权值w可以包括一个或多个分量。可以通过EUV图案布局的仿真结果中的线和空间图案(或其他图案)来提取初始加权值w。相关性参数CP可以指示EUV图案布局的仿真结果与EUV图案布局的实际晶片结果之间的相关性。以下提供了相关性参数CP可以是EUV图案化预测指数(EpPix)值的非限制性示例,但是示例性实施例不限于此。
在操作S330中,处理器950可以基于相关性参数CP生成预测晶片工艺窗口。预测晶片工艺窗口可以指示EUV图案布局的实际晶片结果是否将包括图案化缺陷。实际晶片结果可以包括在EUV图案化工艺中使用掩模版(reticle)在晶片上形成的抗蚀剂图案,并且可以基于相关性参数CP和预测晶片工艺窗口对掩模版进行订制。
在操作S340中,处理器950可以基于CP和预测晶片工艺窗口来确定掩模结构是否适合于订制。例如,处理器950可以将相关性参数CP的值与第一值V1和第二值V2以及可选的一个或多个不同值V3至Vn进行比较,其中n可以是整数,V2可以大于V1,V3可以大于V2,等等(例如,Vn可以大于Vn-1)。响应于大于V1并且小于或等于V2的相关性参数CP的值,处理器950可以确定掩模结构适合于订制。换句话说,响应于大于V1且小于或等于V2的CP值,处理器950可以预测在操作S310中获取的EUV图案布局的仿真结果将与良好的晶片结果(例如,图案将在所有晶片工艺窗口内或在焦点范围之外很好)相关。在例如V1<CP≤V2的这种情况下,处理器950可以决定对掩模结构进行订制。
另一方面,响应于操作S340中的大于V2的CP值,处理器950可以决定不对掩模结构进行订制,而是转到操作S350。在操作S350中,处理器950可以响应于相关性参数CP值大于期望值(例如,V2)而修EUV图案布局(例如,在晶片上预测图案化缺陷的位置处调整目标CD)。接下来,处理器950可以进行到操作S310,其中处理器可以基于修正后的EUV图案布局来获取修正后的仿真结果。然后,处理器950可以重复操作S320、S330和S340:在操作S320中处理器950可以基于修正后的EUV图案布局的修正后的仿真结果的加权值以及仿真的EL、LER区域和宽度参数来确定修正后的相关性参数CP;在操作S330中处理器可以使用修正后的相关性参数CP来生成修正后的预测晶片工艺窗口;并且在操作S340中处理器950可以基于修正后的CP和修正后的预测晶片工艺窗口来确定掩模是否适合于订制。在操作S340中,处理器950可以将修正后的CP值与第一值V1和第二值V2以及可选地一个或多个不同值V3至Vn进行比较。响应于修正后的CP大于V2,处理器950可以再次进行操作S350。响应于修正后的CP大于V1且小于或等于V2,则处理器可以确定掩模适合于订制。
修正后的相关性参数CP可以指示修正后的EUV图案布局的修正后的仿真结果与修正后的EUV图案布局的实际晶片结果之间的相关性。修正后的预测晶片工艺窗口可以指示修正后的EUV图案布局的实际晶片结果是否将包括一个或多个图案化缺陷。
在一些示例性实施例中,在操作S320中,相关性参数CP可以是EUV图案化预测指数(EpPix)。此外,操作S320中的修正后的相关性参数CP可以是基于操作S350和S310之后的修正后的EUV图案布局的仿真的修正后的EpPix。换句话说,处理器950可以将EpPix确定为相关性参数CP和/或处理器950可以将修正后的EpPix确定为修正后的相关性参数CP。处理器950可以根据下述等式(1)中的公式来确定EpPix(或者修正后的EpPix)。
EpPix={(1+wi a+wii b)-(e,f)}×(1+wiii c+wiv d) (1)
a=仿真DOF的焦点中心
b=仿真EL的剂量中心
c=SEPE带空间
d=SEPE带宽
e,f=补偿因子
wi,wii,wiii,wiv=加权值
在等式(1)中,a和b可以是来自EUV图案布局的仿真结果中的工艺窗口的DOF的中心和EL的中心;c和d可以是SEPE带空间和带宽;e,f可以是曝光-焦点补偿因子;以及wi至wiv可以是加权值的分量。wi至wiv的值可以根据经验研究的模型来确定,并可以根据具体情况进行修改。Wi至wiv的初始值可以通过EUV图案布局的仿真结果中的线和空间图案(或其他图案)来提取。可以基于关于EUV图案布局的仿真结果中的工艺窗口是否与基于对EUV图案化工艺的仿真使用掩模在晶片上形成的抗蚀剂的晶片结果相关的经验结果,来对wi至wiv的初始值进行修改。wi至wiv的值可以在约1至约10的范围内,但是示例性实施例不限于此。wi至wiv中之一的值可以与wi至wiv中的另一个的值相同或不同。
曝光-焦点补偿因子(e,f)可以根据经验研究的模型来确定,并可以根据具体情况进行修改。曝光-焦点补偿因子(e,f)可以在约1至约10和/或约1至约5和/或约1至约3的范围内,但是示例性实施例不限于此。
在一些示例性实施例中,在操作S330中处理器950可以生成预测工艺窗口作为Bossung曲线。在其他示例性实施例中,在操作S330中处理器950可以基于EpPix值生成预测工艺窗口作为焦点曝光度量(FEM)的预测。下面将参考图3和图4来描述后者的一个示例。
下面参考图4A和图4B描述用于确定等式1的曝光-焦点补偿因子(e,f)的示例性过程。
参考图4A,如上述参考图2所讨论的,可以确定锚点图案的最佳剂量和最佳焦点(BDBF)条件。当处理器950计算锚点图案的EpPix值时,处理器950可以使用等式1中的曝光-焦点补偿因子(e,f)的常量(例如,0),因为可以使用用于EUV图案化工艺的锚点BDBF条件来形成锚点图案。
然后,可以基于使用锚点BDBF条件的EUV图案化工艺来评估EUV布局中选择的非锚点图案。处理器950可以接收如下信息,该信息指示对由使用BDBF条件的EUV图案工艺形成的所选择的非锚点图案的图案尺寸的预测。然后,处理器950可以将所选择的非锚点图案的预测的图案尺寸与所选择的非锚点图案的目标尺寸进行比较。基于所选择的非锚点图案的预测的图案尺寸与目标尺寸之间的差异,处理器950可以确定是否需要对锚点BDBF条件进行至少一次剂量步程调整和/或至少一次焦点步程调整,以使所选择的非锚点图案的图案尺寸满足其目标尺寸。
例如,如图4A所示,补偿情况可以是指当非锚点图案的尺寸小于或大于锚点尺寸时校正非锚点图案的尺寸。例如,在补偿情况下,处理器950可以确定当使用用于EUV图案化工艺的锚点BDBF条件形成时所选择的非锚点图案的图案尺寸可以大于其目标尺寸(例如,过量剂量);在这种情况下,处理器950可以确定需要比锚点BDBF条件低的剂量以使所选择的非锚点图案的图案尺寸满足其目标尺寸。例如,在另一补偿情况下,处理器950可以确定当使用用于EUV图案化工艺的锚点BDBF条件形成时所选择的非锚点图案的图案尺寸可以小于其目标尺寸(例如,剂量不足);在这种情况下,处理器950可以确定需要比锚点BDBF条件高的剂量以使所选择的非锚点图案的图案尺寸满足其目标尺寸。替代地,一般情况可以是指常规技术。在一般情况下,处理器950可以确定当使用用于EUV图案化工艺的锚点BDBF条件形成时所选择的非锚点图案的图案尺寸可以小于其目标尺寸(例如,剂量不足);然而,在这种情况下,处理器950可以使所选择的非锚点图案的图案尺寸更小。
在一些示例性实施例中,处理器950可以基于线性或非线性回归公式确定是否需要对锚点BDBF条件进行至少一次剂量步程调整和/或至少一次焦点步程调整,所述线性或非线性回归公式描述了对所选择的非锚点图案的图案尺寸响应于偏离锚点BDBF条件的不同剂量和/或焦点条件而变化的大小的预测。在其他示例性实施例中,处理器950可以通过接口940接收关于是否需要对锚点BDBF条件进行至少一次剂量步程调整和/或至少一次焦点步程调整以使得所选择的非锚点图案的图案尺寸满足其目标尺寸的信息。
基于所选择的非锚点图案的目标尺寸与由使用锚点BDBF条件的EUV图案化工艺所形成的所选择的非锚点图案的图案尺寸的预测之间的关系,处理器950可以根据可存储在存储器930中和/或通过接口940访问的经验研究的模型来确定曝光-焦点补偿因子(e,f)的值。可以根据具体情况更新和修改所述模型。
图4B示出了与锚点BDBF条件相比较的根据剂量步程(DS)调整和/或焦点步程(FS)调整的曝光-焦点补偿因子(e,f)的不同值的示例。
参考图4B和图9,如果处理器950确定由使用锚点BDBF条件的EUV图案化工艺所形成的所选择的非锚点图案的图案尺寸可以满足所选择的非锚点图案的目标尺寸,则处理器950可以将e,f-0确定为曝光焦点补偿因子(e,f)。
另一方面,如果处理器950确定与锚点BDBF条件相比,用于形成所选择的非锚点图案的剂量应该降低(例如,分别被调整-DS1、-DS2或-DS3)以帮助使得所选择的非锚点图案满足其目标尺寸(例如,过量剂量),则处理器950可以确定与剂量步程调整对应的曝光焦点补偿因子(e,f)的值。例如,如图4B所示,e,f-1对应于基于锚点BDBF条件从0到-DS1范围内的剂量步程调整和/或从-FS1到FS1范围内的焦点;e,f-2对应于基于锚点BDBF条件从-DS1到-DS2范围内的剂量步程调整和/或从FS1到FS2(和/或从-FS1到-FS2)范围内的焦点;以及e,f-3对应于基于锚点BDBF条件从-DS2到-DS3范围内的剂量步程调整和/或从FS2到FS3(和/或从-FS2到-FS3)范围内的焦点。
另外,如果处理器950确定与锚点BDBF条件相比,用于形成所选择的非锚点图案的剂量应该提高(例如,分别被调整DS1、DS2或DS3)以帮助使得所选择的非锚点图案满足其目标尺寸(例如,剂量不足),则处理器950可以确定与剂量步程调整对应的曝光焦点补偿因子的值。例如,如图4B所示,e,f-4对应于基于锚点BDBF条件从0到DS1范围内的剂量步程调整和/或从-FS1到FS1范围内的焦点;e,f-5对应于基于锚点BDBF条件从DS1到DS2范围内的剂量步程调整和/或从FSl到FS2(和/或从-FS1到-FS2)范围内的焦点;以及e,f-6对应于基于锚点BDBF条件从DS2到DS3范围内的剂量步程调整和/或从FS2到FS3(和/或从-FS2到-FS3)范围内的焦点。
e,f-0;e,f-1;e,f-2和e,f-3的值可以彼此不同。例如,e,f-0可以是0;e,f-1可以是1;e,f-2可以是2;e,f-3可以是3。在一些示例性实施例中,e,f-0;e,f-4;e,f-5和e,f-6中的一个或多个的值可以是相同的。例如,e,f-4可以是0;e,f-5可以是0;并且e,f-6可以是0。替代地,e,f-0;e,f-4;e,f-5和e,f-6中的一个或多个的值可以彼此不同。
下面参考图4C描述用于确定加权值w的示例性过程。
参考图4C和图9以及等式(1),处理器950可以基于对可存储在存储器930中和/或通过接口940访问的经验模型进行访问,分别根据仿真DOF的焦点中心(a)、仿真EL的剂量中心(b)、SEPE带空间(c)和SEPE带宽(d)的值来确定加权值。
在图4C中,w1a至w5a是取决于仿真DOF的焦点中心(a)的值的加权值wi的值;w1b至w5b是取决于仿真EL的剂量中心(b)的值的加权值wii的值;w1c至w5c是取决于SEPE带空间(c)的值的加权值wiii的值;以及w1d至w5d是取决于SEPE带宽(d)的值的加权值wiv的值。w1a至w5a、w1b至w5b、w1c至w5c和w1d至w5d的值可以基于具体情况和/或图案类型进行修改和更新。对于焦点中心(a)、能量容忍度(b)、SEPE带空间(c)和SEPE带宽(d)的各个点之间的间距可以基于根据具体情况和/或图案类型而更新的经验研究来确定。
例如,处理器950可以响应于仿真DOF的焦点中心(a)处于大于0至小于或等于焦点(1)的范围内而确定加权值wi等于w1a,响应于仿真DOF的焦点中心(a)处于大于焦点(1)至小于或等于焦点(2)的范围内而确定加权值wi等于w2a,响应于仿真DOF的焦点中心(a)处于大于焦点(2)至小于或等于焦点(3)的范围内而确定加权值wi等于w3a,响应于仿真DOF的焦点中心(a)处于大于焦点(3)至小于或等于焦点(4)的范围内而确定加权值wi等于w4a,以及响应于仿真DOF的焦点中心(a)处于大于焦点(4)至小于或等于焦点(5)的范围内而确定加权值wi等于w5a。w1a至w5a的值可以彼此不同。
例如,处理器950可以响应于仿真EL的剂量(b)处于大于0至小于或等于剂量(1)的范围内而确定加权值wii等于w1b,响应于仿真EL的剂量(b)处于大于剂量(1)至小于或等于剂量(2)的范围内而确定加权值wii等于w2b,响应于仿真EL的剂量(b)处于大于剂量(2)至小于或等于剂量(3)的范围内而确定加权值wii等于w3b,响应于仿真EL的剂量(b)处于大于剂量(3)至小于或等于剂量(4)的范围内而确定加权值wii等于w4b,以及响应于仿真EL的剂量(b)处于大于剂量(4)至小于或等于剂量(5)的范围内而确定加权值wii等于w5b。w1b至w5b的值可以彼此不同。
例如,处理器950可以响应于SEPE带空间(c)处于大于0至小于或等于SEPE bs(1)的范围内而确定加权值wiii等于w1c,响应于SEPE bs(b)处于大于SEPE bs(1)至小于或等于SEPE bs(2)的范围内而确定加权值wiii等于w2c,响应于SEPE bs(b)处于大于SEPE bs(2)至小于或等于SEPE bs(3)的范围内而确定加权值wiii等于w3c,响应于SEPE bs(b)处于大于SEPE bs(3)至小于或等于SEPE bs(4)的范围内而确定加权值wiii等于w4c,以及响应于SEPEbs(b)处于大于SEPE bs(4)至小于或等于SEPE bs(5)的范围内而确定加权值wiii等于w5c。w1c至w5c的值可以彼此不同。
例如,处理器950可以响应于SEPE带宽(d)处于大于0至小于或等于SEPE bw(1)的范围内而确定加权值wiv等于w1d,响应于SEPE bw(b)处于大于SEPE bw(1)至小于或等于SEPE bw(2)的范围内而确定加权值wiv等于w2d,响应于SEPE bw(b)处于大于SEPE bw(2)至小于或等于SEPEbw(3)的范围内而确定加权值wiv等于w3d,响应于SEPE bw(b)处于大于SEPEbw(3)至小于或等于SEPE bw(4)的范围内而确定加权值wiv等于w4d,以及响应于SEPE bw(b)处于大于SEPE bw(4)至小于或等于SEPE bw(5)的范围内而确定加权值wiv等于w5d。w1d至w5d的值可以彼此不同。
图5是对应于晶片工艺窗口的区域的示意图。图6是示出在晶片上形成的EUV图案的EpPix值与FEM余量结果之间的关系的图表。
参考图3和图5,在一些示例性实施例中,在操作S330中处理器950可以基于EpPix值生成预测工艺窗口作为焦点曝光量度(FEM)的预测。处理器950可以基于FEM的预测来预测EUV图案余量。处理器950可以基于EpPix值生成FEM的预测作为FEM余量图,并且FEM余量图可以包括与工艺窗口的不同部分对应的多个焦点区域R1至Rx(x为整数)。例如,如图5所示,处理器950可以生成可以包括第一焦点区域R1、围绕第一焦点区域R1的第二焦点区域R2、围绕第二焦点区域R2的第三焦点区域R3以及围绕第三焦点区域R3的第四焦点区域R4在内的FEM余量图。第一至第四焦点区域R1至R4可以具有相同的中心部分(例如,同心布置)。尽管图5示出了四个焦点区域R1至R4,但是示例性实施例不限于此,并且处理器950可以替代地生成具有多于或少于四个焦点区域R1至R4的FEM余量图。
通过确定EpPix值,处理器950可以预测仿真结果的工艺窗口将与晶片上形成的相应EUV图案化工艺的工艺窗口的相关程度。EpPix值可以指示使用从仿真结果开发的掩模在晶片上形成的每个图案的工艺窗口。基于EpPix值,处理器950可以基于EUV图案布局的仿真结果,来预测FEM余量图上的哪个焦点区域可能对使用掩模的EUV光刻工艺的晶片结果有误差。
例如,参考下面的表1,响应于处理器950在操作8320中将EpPix确定为相关性参数CP,在操作S330和S340中处理器950可以基于EpPix值与V1和V2以及可选的V3和V4之间的关系来预测用于EUV图案化工艺的晶片工艺窗口。在一些示例性实施例中,V1可以是0,V2可以是10,V3可以是20,并且V4可以是30,但不限于此。
表1-EpPix标准
EpPix值 误差@
V1<EpPix≤V2 可能发生在R4中
V2<EpPix≤V3 可能发生在R3和R4中
V3<EpPix≤V4 可能发生在R2至R4中
EpPix>V4 可能发生在R1至R4中
参考表1以及图3和图5,响应于处理器950在图3的操作S320中确定大于V1且小于或等于V2的EpPix值,处理器950在图3的操作S330和S340中可以从EUV仿真来预测使用掩模的晶片上的实际EUV图案化工艺,其中该EUV仿真可能在图5上的第一焦点区域R1至第四焦点区域R4中具有良好工艺窗口(例如,不形成图案化缺陷的工艺);然而,响应于V1<EpPix≤V2,晶片上的图案化缺陷(如果发生的话)可能在EUV图案化工艺使用在焦点区域R4处或附近的焦点和剂量条件时发生。
如图6所示,FEM余量结果1、5、6和10是晶片上形成的EUV图案的结果,其对应于EpPix值大于V1且小于或等于V2的EUV仿真结果。在图6中,V1可以是0,V2可以是10,V3可以是20,并且V4可以是30。如根据大于0并且小于或等于10的EpPix值所预测的,在FEM余量结果1和10中没有观察到图案化缺陷。在FEM余量结果5和6中,黑色矩形表示FEM余量结果上观察到图案化缺陷的区域;灰色矩形表示FEM余量结果上具有潜在的图案化问题的区域(例如,边界缺陷区域)。在FEM余量结果5和6中,FEM余量结果5中的两个黑色矩形(参见第1行第4列和第2列第2行)和FEM余量结果6中的一个黑色矩形(参见第1行第4列)指示在与图5中的焦点区域R4相当的最外焦点区域中观察到图案化缺陷。如图6所示,在FEM余量结果5和6中,在距FEM余量结果5和6的中心处的最佳剂量最佳焦点条件最远的散焦条件下观察到图案化缺陷。另外,FEM余量结果6在第2行第6列中有一个灰色矩形。
参考表1以及图3和图5,响应于处理器950确定大于V2且小于或等于V3的EpPix值,在图3的操作S320至S340中,处理器950可以预测当实际的EUV图案化工艺使用在焦点区域R3和R4处或附近的焦点和剂量条件时,实际的EUV图案化工艺可能形成图案化缺陷。另外,响应于V2<EpPix≤V3,处理器950可以预测实际的EUV图案化工艺使用在焦点区域R1和R2处或附近的焦点和剂量条件不会在晶片上形成图案化缺陷。
如图6所示,FEM余量结果9是EUV图案晶片结果,其对应于具有大于10并且小于或等于20的EpPix值的EUV仿真结果,其中V2可以是1O并且V3可以是20。在FEM余量结果9中,第1行第4列和第2行第4列中的黑色矩形表示在与图5中的R3和R4相当的焦点区域中观察到图案化缺陷。此外,FEM余量结果9的第3行第3列至第5列中的灰色矩形表示与图5中的R3相当的焦点区域中的潜在图案化问题。
参考表1以及图3和图5,响应于处理器950确定大于V3且小于或等于V4的EpPix,在图3的操作S320至S340中,处理器950可以预测当实际的EUV图案化工艺使用在焦点区域R2、R3和R4中的至少一个处或附近的焦点和剂量条件时,实际的EUV图案化工艺可能形成图案化缺陷。另外,响应于V3<EpPix≤V4,处理器950可以预测实际的EUV图案化工艺使用在焦点区域R1处或附近的焦点和剂量条件不会在晶片结果上形成图案化缺陷。
如图6所示,FEM余量结果3和12是EUV图案晶片结果,其对应于具有大于20且小于或等于30的EpPix值的EUV仿真结果,其中V3可以是20并且V4可以是30。在FEM余量结果3中,第1-2行第4列和第3行第3-5列中的黑色矩形表示在与图5中的R2、R3和R4相当的焦点区域中观察到图案化缺陷。在FEM余量结果12中,第1-3行第4列中的黑色矩形表示在与图5中的R2、R3和R4相当的焦点区域中观察到图案化缺陷。
参考表1以及图3和图5,响应于处理器950确定大于V4的EpPix,在图3的操作S320至S340中,处理器950可以预测当实际的EUV图案化工艺使用在焦点区域R1、R2、R3和R4中的任一个处或附近的焦点和剂量条件时实际的EUV图案化工艺可能形成图案化缺陷。
如图6所示,FEM余量结果2、4、7、8和11是EUV图案晶片结果,其对应于具有大于30的EpPix值的EUV仿真结果,其中V4可以是30。在FEM余量结果2、7和8中,黑色矩形围绕FEM余量结果2、7、8上的每个方形;因此,在与图5中的R1、R2、R3和R4相当的焦点区域中观察到图案化缺陷。在FEM余量结果4中,第1-2行第4列和第3行第3-5列中的黑色矩形表示在与图5中的R2、R3和R4相当的焦点区域中观察到图案化缺陷。在FEM余量结果11中,第1-2行第4列中的黑色矩形表示在与图5中的R3和R4相当的焦点区域中观察到图案化缺陷。
参考图3、图5和图6,在操作S330和S340中,由处理器950使用相关性参数CP生成预测晶片工艺窗口可以包括以下操作中的至少一个:响应于EpPix值大于第一值V1且小于或等于第二值V2,处理器950预测在第一焦点区域R1至第四焦点区域R4中不发生图案化缺陷,或者在第四焦点区域R4处发生图案化缺陷;响应于EpPix值大于第二值V2且小于或等于第三值V3,处理器950预测在第三焦点区域R3和第四焦点区域R4中的至少一个中发生图案化缺陷;响应于EpPix值大于第三值V3且小于或等于第四值V4,处理器950预测在第二焦点区域R2、第三焦点区域R3和第四焦点区域R4中的至少一个中发生图案化缺陷;以及响应于EpPix值大于第四值V4,处理器950预测在第一焦点区域R1、第二焦点区域R2、第三焦点区域R3和第四焦点区域R4中的至少一个中发生图案化缺陷。
图7是根据一些示例性实施例的使用处理器来制备EUV掩模结构的流程图。
参考图7和图9,在操作S700中,处理器950可以通过根据图3中的操作S310、S320和S330使用相关性参数CP和来自EUV图案布局的仿真结果的参数生成预测晶片工艺窗口来预测EUV图案余量。接下来,在操作S710中,处理器950可以确定相关性参数CP的值是否可接受。操作S710可以以与操作S340相同的方式来执行。响应于在操作S710中大于V2的相关性参数CP的值,处理器950可以进行到操作S740。除了处理器950在操作S740之后返回到操作S700之外,处理器950可以以与以上在图3中描述的操作S350相同的方式执行操作S740。
另一方面,响应于在操作S710中大于第一值V1并且小于或等于第二值V2的相关性参数CP的值,处理器950可以基于EUV图案布局的仿真结果确定EUV掩模结构适合于订制。在操作S720中,处理器950可以决定生成EUV掩模结构。稍后在图10中描述用于生成EUV掩模组件的EUV掩模结构的处理。在操作S720之后,可以在操作S730中使用EUV掩模结构来形成抗蚀剂图案。稍后在图11中描述用于生成抗蚀剂图案的处理。
图8A、图8B和图8C是根据一些示例性实施例的使用处理器的源掩模优化(SMO)的流程图。
参考图8A、图8B和图9,在操作8810中,处理器950可以针对源掩模优化(SMO)执行关键图案采样。关键图案可以是包括锚点图案的EUV布局的一部分。处理器950可以基于要在晶片上图案化的最困难的特征来选择锚点图案。例如,锚点图案可以是与EUV布局中待形成的所有图案相比具有最小间距尺寸的图案。在操作S820中,处理器950可以生成经优化的源以提供EUV图案布局的仿真结果。接下来,处理器950可以在操作S830中基于经优化的源中的参数生成相关性参数CP(例如,EpPix值),并且处理器950可以在操作S830中确定相关性参数CP是否可接受。相关性参数CP可以根据在图3的操作S320中所讨论的相同过程来生成。在一些示例性实施例中,处理器950可以通过根据上述等式1计算EpPix值来生成相关性参数CP。
响应于相关性参数CP的不可接受的值(例如,CP>10),处理器950可以执行操作S845以对经优化的源的关键图案中的单个光学邻近校正(OPC)目标尺寸进行优化,然后返回到操作S810。响应于对于所有样本的可接受的相关性参数CP(例如,0<CP≤10),可以在操作S840中选择用于关键图案的初始源照明。
在操作S850中,处理器950可以利用关键样本中排除的另一样本执行掩模优化。然后,在操作S860中,处理器950可以基于来自该另一样本的优化源中的参数来生成相关性参数CP(例如,EpPix值)。响应于相关性参数CP对于所有样本是可接受的(例如,EpPix≤10),处理器950可以进行到操作S870并选择候选源照明。在操作S830和S860中确保相同的源照明实现可接受的相关性参数CP(例如,EpPix≤10)之后,处理器950可以在操作S870中选择候选源照明。替代地,响应于在操作S850中相关性参数CP是不可接受的(例如,CP>10),处理器950可以进行到操作S880以对关键样本中排除的该另一样本中的单个OPC进行优化。
参考图8A和图8B,图8B中的操作S870是由专利算法生成的候选源,并且图8A中的操作S875是使用装置900由成本函数生成的源。操作S870和S875可以具有不同的源形状。在一些示例性实施例中,图8A中装置900的与操作S875相关的成本函数可以是对于所有样本用于使EpPix值小于或等于期望值(例如,10)(参见图8B中的操作S830和S860)的SEPE参数(例如,SEPE带宽和/或SEPE带空间,如图1中讨论的)。专利算法可以是迭代处理以减小EpPix值。
参考图8A和图8B,在处理器950在操作S870中选择由专利算法生成的候选源之后,选择由成本函数生成的候选源(S875)并且可以对掩模结构进行订制。然后,在操作S890中,可以使用用于EUV工艺的候选源条件和订制的掩模结构在晶片上形成抗蚀剂图案。在操作S890中,可以在晶片上评估抗蚀剂图案以在操作S893中检查缺陷。如果缺陷级别小于或等于可接受的阈值级别,则在操作S895中,可以将操作S875中的候选源选择为最终源。如果缺陷级别超过可接受的阈值级别,则可以在操作S897中修正并重新测试源和/或EUV掩模布局,然后可以重复图8A和图8B的操作S810至S890。
因为在操作S875中对候选源的选择、之后对掩模结构的订制以及操作S890中的晶片评估之间可能存在等待时间,所以可以在对掩模结构订制之前执行根据一些示例性实施例的用于使用处理器确定是否对掩模结构进行订制的方法,以减少对不适合于实际EUV图案化工艺的掩模结构订制的可能性。如上所述,在对掩模结构订制之前,处理器950可以基于EUV仿真结果和经验模型来确定EUV布局的关键图案和其他图案中的特征的相关性参数CP(例如,EpPix),以预测待订制的掩模结构是否将适用于实际的EUV图案化工艺。因此,根据示例性实施例,可以限制和/或防止对不适合于实际EUV图案化工艺的掩模进行订制的时间和费用。
图8C是用于解释根据一些示例性实施例的专利算法的示例的流程图。在一些示例性实施例中,响应于执行存储在存储器930中的指令,图9中装置900的处理器950可以被配置为执行本申请的以下参考图8C所讨论的专利算法示例。
参考图8C和图9,在操作S820a中,处理器950可以执行源掩模优化(SMO)。在操作S820b中,处理器950可以通过高达期望极限(例如,阈值极限)的若干次迭代来重复操作S820a的SMO,以生成使边缘放置误差(EPE)最小化的经优化的源掩模。例如,在操作S820b中,可以重复操作S820a的SMO以产生具有0EPE和/或最小水平的EPE的源。然后,在操作S820c中,处理器950可以生成候选源。然后,在操作S825中,处理器950可以检查单个样本的EpPix值。在操作S827中,响应于单个样本的EpPix值小于或等于10(或不同的期望级别),处理器950可以结束本专利算法处理。备选地,在操作S827中,响应于EpPix值大于10,处理器950可以进行至操作S832。在操作S832中,处理器950可以通过迭代处理来优化目标尺寸(例如,调整目标的维度)。在操作S833和S837中,处理器950可以响应于用于目标尺寸优化的迭代次数小于或等于期望极限(例如,100)而重新运行SMO。备选地,在操作S833中,响应于用于目标尺寸优化的迭代次数大于期望极限(例如,100),处理器950可以返回到在操作S820b中用于减小边缘放置误差的迭代处理。
参考图9,在一些示例性实施例中,响应于执行存储在存储器930中的指令,处理器950可以被配置为根据基于EUV图案化布局的仿真结果的加权值w、仿真DOF、仿真EL、仿真LER区域参数和仿真LER宽度参数来确定相关性参数(CP)。此外,响应于执行存储在存储器930中的指令,处理器950可以基于相关性参数CP生成预测晶片工艺窗口(例如,生成FEM),并且处理器可以被配置为基于CP和预测晶片工艺窗口来确定是否对掩模结构订制。
在一些示例性实施例中,响应于执行存储在存储器930中的指令,处理器950可以被配置为将相关性参数CP确定为根据上述等式1的EpPix值。
图10是根据一些示例性实施例的包括图9中的装置和用于形成掩模结构的装置在内的***的示意图。
参考图10,在一些示例性实施例中,***可以包括耦接到EUV掩模组装装置1000的图9中的装置900。所述EUV掩模组装装置1000可以包括EUV掩模版形成装置1010、EUV表膜形成装置1020和掩模装配器1030中的至少一个。响应于装置900的处理器950基于EUV图案布局的仿真结果生成合适的相关性参数CP(例如,V1<CP≤V2),处理器950可以对EUV掩模结构进行订制。处理器950可以控制装置900以指导EUV掩模组装装置1000基于EUV图案层的仿真结果形成掩模版,形成表膜,并且将表膜附接到掩模版以形成EUV掩模组件。EUV掩模版形成装置1010可以包括沉积设备和图案化设备,以用于在合适的基底上形成EUV掩模版结构。EUV表膜形成装置1020包括用于形成合适表膜的沉积设备。掩模装配器1030可以通过将表膜结合到带有表膜框架的EUV掩模版来形成EUV掩模组件。图11中示出了EUV掩模组件的示例。
图11是示出根据一些示例性实施例的EUV图案化工艺的示意图。
参考图11,根据一些示例性实施例的EUV图案化工艺可以包括:利用由EUV光源100生成的EUV光入照射掩模组件。EUV光源100可以使用碳等离子体生成波长约为13.5nm的EUV光λ,但示例性实施例不限于此,并且可以替代地使用其他激光器结构。掩模组件可以包括若干个掩模结构:掩模版200、表膜220和将表膜220连接到掩模版200的框架210。表膜220可以包括碳层。根据一些示例性实施例,掩模组件可以使用EUV掩模组装装置1000形成。
掩模版200可以使EUV光λ反射向形成在晶片300上的光致抗蚀剂层PR。掩模版200可以包括图案,并且掩模版的图案可以在与掩模版200的图案对应的位置处曝光晶片300上的光致抗蚀剂层PR。在光致抗蚀剂层PR被曝光之后,可以形成曝光后烘烤和显影过程PEB/DEVELOP以在晶片300上形成光致抗蚀剂图案PR PATTERN(PR图案)。
应当理解的是,这里描述的示例性实施例应仅被认为是描述性的而不是为了起限制作用。虽然已经具体示出和描述了一些示例性实施例,但是本领域普通技术人员将会理解,在不脱离权利要求的精神和范围的情况下,可以在其中进行形式和细节上的变化。

Claims (20)

1.一种用于使用处理器确定是否对掩模结构进行订制的方法,所述方法包括:
获取EUV图案布局的仿真结果,
所述仿真结果包括仿真焦深(DOF)、仿真能量容忍度(EL)、小于或等于第一阈值的仿真线边缘粗糙度(LER)区域参数以及小于或等于第二阈值的仿真线边缘粗糙度宽度参数,
所述仿真线边缘粗糙度区域参数指示所述EUV图案布局的仿真结果中的一个或多个区域特征的粗糙度,
所述仿真线边缘粗糙度宽度参数指示所述EUV图案布局的仿真结果中的一个或多个线特征的粗糙度;
使用所述处理器基于所述仿真焦深、所述仿真能量容忍度、所述仿真线边缘粗糙度区域参数、所述仿真线边缘粗糙度宽度参数以及用于所述仿真结果的加权值来确定相关性参数(CP),
所述相关性参数指示所述EUV图案布局的仿真结果与所述EUV图案布局的实际晶片结果之间的相关性;
使用所述处理器基于所述相关性参数生成预测晶片工艺窗口,所述预测晶片工艺窗口指示所述EUV图案布局的实际晶片结果是否包括图案化缺陷;以及
基于所述相关性参数和所述预测晶片工艺窗口来确定所述掩模结构适合于订制。
2.根据权利要求1所述的方法,其中,
所述仿真线边缘粗糙度区域参数是小于或等于所述第一阈值的随机边缘放置误差(SEPE)带空间,
所述仿真线边缘粗糙度宽度参数是小于或等于所述第二阈值的随机边缘放置误差带宽。
3.根据权利要求2所述的方法,其中,确定所述相关性参数包括根据下述等式1来计算EpPix值:
[等式1]
EpPix={(1+wi a+wii b)-(e,f)}×(1+wiii c+wiv d)}
其中,在等式l中,
a是所述仿真焦深的焦点中心,
b是所述仿真能量容忍度的剂量中心,
c是所述随机边缘放置误差带空间,
d是所述随机边缘放置误差带宽,
wi、wii、wiii和wiv是所述加权值的分量,以及
(e,f)是曝光-焦点补偿因子。
4.根据权利要求3所述的方法,其中,生成所述预测晶片工艺窗口包括:使用所述处理器基于所述EpPix值生成焦点曝光度量(FEM)的预测。
5.根据权利要求4所述的方法,其中,生成所述焦点曝光度量的预测包括:生成预测的焦点曝光度量余量图。
6.根据权利要求5所述的方法,其中,
生成所述焦点曝光度量的预测包括:生成所述预测的焦点曝光度量余量图以包括第一焦点区域、围绕所述第一焦点区域的第二焦点区域、围绕所述第二焦点区域的第三焦点区域和围绕所述第三焦点区域的第四焦点区域;
生成所述预测晶片工艺窗口包括以下操作中的至少一项:
响应于所述EpPix值大于第一值且小于或等于第二值,预测不会在所述第一焦点区域至所述第四焦点区域中发生图案化缺陷或者在所述第四焦点区域处发生图案化缺陷,
响应于所述EpPix值大于所述第二值且小于或等于第三值,预测在所述第三焦点区域和所述第四焦点区域中的至少一个中发生图案化缺陷,
响应于所述EpPix值大于所述第三值且小于或等于第四值,预测在所述第二焦点区域、所述第三焦点区域和所述第四焦点区域中的至少一个中发生图案化缺陷,以及
响应于所述EpPix值大于所述第四值,预测在所述第一焦点区域、所述第二焦点区域、所述第三焦点区域和所述第四焦点区域中的至少一个中发生图案化缺陷。
7.根据权利要求6所述的方法,其中,
所述第一值为0,
所述第二值为10,
所述第三值为20,以及
所述第四值为30。
8.根据权利要求3所述的方法,其中,所述曝光-焦点补偿因子(e,f)基于经验模型。
9.根据权利要求8所述的方法,其中,所述曝光-焦点补偿因子(e,f)在1至10的范围内。
10.根据权利要求1所述的方法,其中,获取所述EUV图案布局的仿真结果包括:基于预光学邻近校正布局(预OPC布局)和校准模型生成所述仿真结果。
11.根据权利要求1所述的方法,其中,所述加权值w基于经验模型。
12.根据权利要求11所述的方法,其中,所述加权值w在1至10的范围内。
13.根据权利要求1所述的方法,其中,所述EUV图案布局的仿真结果包括仿真锚点图案和仿真半隔离图案。
14.根据权利要求1所述的方法,还包括:
响应于所述相关性参数的值大于期望值,通过调整所述EUV图案布局中的特征来形成修正后的EUV图案布局;
获取所述修正后的EUV图案布局的修正后的仿真结果,
所述修正后的仿真结果包括修正后的仿真焦深、修正后的仿真能量容忍度、小于或等于所述第一阈值的修正后的仿真线边缘粗糙度区域参数、小于或等于所述第二阈值的修正后的仿真线边缘粗糙度宽度参数以及修正后的加权值;
基于所述修正后的仿真焦深、所述修正后的仿真能量容忍度、所述修正后的仿真线边缘粗糙度区域参数、所述修正后的仿真线边缘粗糙度宽度参数和所述修正后的加权值,使用所述处理器确定修正后的相关性参数,
所述修正后的相关性参数指示所述修正后的EUV图案布局的所述修正后的仿真结果与所述修正后的EUV图案布局的实际晶片结果之间的相关性;
基于所述修正后的相关性参数生成修正后的预测晶片工艺窗口,所述修正后的预测晶片工艺窗口指示所述修正后的EUV图案布局的实际晶片结果是否包括图案化缺陷;以及
基于所述修正后的相关性参数和所述修正后的预测晶片工艺窗口来确定所述掩模结构适合于订制。
15.根据权利要求14所述的方法,其中,
所述修正后的仿真线边缘粗糙度区域参数是小于或等于所述第一阈值的修正后的随机边缘放置误差(SEPE)带空间,
所述修正后的仿真线边缘粗糙度宽度参数是小于或等于所述第二阈值的修正后的随机边缘放置误差带宽,
确定所述修正后的相关性参数包括根据下述等式1计算EpPix值:
[等式1]
EpPix={(1+wi a+wii b)-(e,f)}×(1+wiii c+wiv d)}
其中,在等式1中,
a是所述修正后的仿真焦深的焦点中心,
b是所述修正后的仿真能量容忍度的剂量中心,
c是所述修正后的随机边缘放置误差带空间,
d是所述修正后的随机边缘放置误差带宽,
wi、wii、wiii和wiv是所述修正后的加权值的分量,以及
(e,f)是修正后的曝光-焦点补偿因子。
16.一种用于制造EUV掩模的方法,所述方法包括:
执行权利要求1所述的方法;以及
响应于所述相关性参数的值小于期望值而对所述掩模结构进行订制。
17.一种存储计算机可执行指令的非暂时性计算机可读介质,所述计算机可执行指令在由处理器执行时使所述处理器执行用于确定是否对掩模结构进行订制的操作,所述操作包括:
获取EUV图案布局的仿真结果,
所述仿真结果包括仿真焦深(DOF)、仿真能量容忍度(EL)、小于或等于第一阈值的仿真线边缘粗糙度(LER)区域参数以及小于或等于第二阈值的仿真线边缘粗糙度宽度参数;
基于所述仿真焦深、所述仿真能量容忍度、所述仿真线边缘粗糙度区域参数、所述仿真线边缘粗糙度宽度参数和用于所述仿真结果的加权值,确定相关性参数(CP),
所述相关性参数指示所述EUV图案布局的仿真结果与所述EUV图案布局的实际晶片结果之间的相关性;
基于所述相关性参数生成预测晶片工艺窗口,所述预测晶片工艺窗口指示所述EUV图案布局的实际晶片结果是否包括图案化缺陷;以及
基于所述相关性参数和所述预测晶片工艺窗口来确定所述掩模结构适合于订制。
18.一种用于确定是否对掩模结构进行订制的装置,所述装置包括:
存储器;以及
耦接到所述存储器的处理器,
所述处理器响应于执行从所述存储器接收的指令而被配置为基于仿真焦深(DOF)、仿真能量容忍度(EL)、仿真线边缘粗糙度(LER)区域参数、仿真线边缘粗糙度宽度参数和加权值来确定相关性参数(CP),
所述仿真焦深、所述仿真能量容忍度、所述仿真线边缘粗糙度区域参数、所述仿真线边缘粗糙度宽度参数基于EUV图案布局的仿真结果,
所述相关性参数指示所述EUV图案布局的仿真结果与所述EUV图案布局的实际晶片结果之间的相关性,
所述处理器被配置为基于所述相关性参数生成预测晶片工艺窗口,所述预测晶片工艺窗口指示所述EUV图案布局的实际晶片结果是否包括图案化缺陷,以及
所述处理器被配置为基于所述相关性参数和所述预测晶片工艺窗口来确定所述掩模结构适合于订制。
19.根据权利要求18所述的装置,其中,
所述仿真线边缘粗糙度区域参数是小于或等于第一阈值的随机边缘放置误差(SEPE)带空间,
所述仿真线边缘粗糙度宽度参数是小于或等于第二阈值的随机边缘放置误差带宽,以及
所述处理器被配置为通过根据下述等式1计算EpPix值来确定所述相关性参数:
[等式1]
EpPix={(1+wi a+wii b)-(e,f)}×(1+Wiii c+wiv d)}
其中,在等式1中,
a是所述仿真焦深的焦点中心,
b是所述仿真能量容忍度的剂量中心,
c是所述随机边缘放置误差带空间,
d是所述随机边缘放置误差带宽,
wi、wii、wiii和wiv是所述加权值的分量,以及
(e,f)是曝光-焦点补偿因子。
20.根据权利要求19所述的装置,其中,所述处理器被配置为基于所述EpPix值生成预测晶片工艺窗口,作为焦点曝光度量(FEM)。
CN201810536001.6A 2017-06-02 2018-05-29 用于确定是否对掩模结构进行订制的方法、非暂时性计算机可读介质和/或装置 Active CN108984822B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762514082P 2017-06-02 2017-06-02
US62/514,082 2017-06-02
US15/987,238 US10599046B2 (en) 2017-06-02 2018-05-23 Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
US15/987,238 2018-05-23

Publications (2)

Publication Number Publication Date
CN108984822A true CN108984822A (zh) 2018-12-11
CN108984822B CN108984822B (zh) 2023-12-08

Family

ID=64459621

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810536001.6A Active CN108984822B (zh) 2017-06-02 2018-05-29 用于确定是否对掩模结构进行订制的方法、非暂时性计算机可读介质和/或装置

Country Status (3)

Country Link
US (1) US10599046B2 (zh)
KR (1) KR102572252B1 (zh)
CN (1) CN108984822B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113759673A (zh) * 2020-09-28 2021-12-07 台湾积体电路制造股份有限公司 极紫外光室、用于与极紫外光室使用的组件及其使用方法
CN113985709A (zh) * 2021-10-26 2022-01-28 中国科学院微电子研究所 一种定量计算等离子体超衍射光刻工艺中线边缘粗糙度的解析方法及装置
TWI757855B (zh) * 2019-09-06 2022-03-11 荷蘭商Asml荷蘭公司 用於在參數化模型預測中提高確定性的方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109636662B (zh) * 2018-12-21 2021-02-02 佛山市南海区微高软件有限公司 窗户生产流程管理方法、装置、存储介质及终端设备
KR102639895B1 (ko) * 2019-01-21 2024-02-23 삼성전자주식회사 인쇄 회로 기판이 시뮬레이션을 위한 컴퓨터-구현 방법, 프로세서-구현 시스템, 그리고 명령들을 저장하는 비임시의 컴퓨터로 독출 가능한 저장 매체
US11086230B2 (en) * 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
CN113424107B (zh) * 2019-02-25 2023-09-19 应用材料以色列公司 检测稀有随机缺陷的***与方法
US11475201B2 (en) * 2020-02-24 2022-10-18 Synopsys, Inc. Inclusion of stochastic behavior in source mask optimization
US11468222B2 (en) 2020-02-24 2022-10-11 Synopsys, Inc. Stochastic signal prediction in compact modeling
US11874597B2 (en) 2020-02-25 2024-01-16 Synopsys, Inc. Stochastic optical proximity corrections
US11640490B2 (en) 2020-02-25 2023-05-02 Synopsys, Inc. Source mask optimization by process defects prediction
US11137691B1 (en) * 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fixing blank mask defects by revising layouts

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1365135A (zh) * 2000-12-28 2002-08-21 株式会社日立制作所 光掩模、其制造方法、图形形成方法及半导体装置的制造方法
CN1495856A (zh) * 2002-07-26 2004-05-12 Asml��Ƭ�������޹�˾ 采用偶极子照明技术依赖屏蔽的定向
CN101305320A (zh) * 2005-09-09 2008-11-12 睿初科技公司 采用独立掩模误差模型的掩模验证***和方法
US20120052418A1 (en) * 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
JP2014090163A (ja) * 2012-10-03 2014-05-15 Toppan Printing Co Ltd Euvマスクの欠陥評価方法及びeuvマスクの製造方法
CN104040679A (zh) * 2011-03-28 2014-09-10 东京毅力科创株式会社 自适应配方选择器
CN104749871A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 用于反射式光刻技术的掩模版、制作方法及其使用方法
US20150346610A1 (en) * 2014-05-28 2015-12-03 Applied Materials Israel, Ltd. Aerial mask inspection based weak point analysis
WO2016096338A1 (en) * 2014-12-17 2016-06-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
CN106164777A (zh) * 2014-04-14 2016-11-23 Asml荷兰有限公司 光刻过程的优化流程
US20170010538A1 (en) * 2014-02-11 2017-01-12 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI334511B (en) * 2003-03-31 2010-12-11 Asml Masktools Bv Source and mask optimization
US20060234137A1 (en) 2005-04-15 2006-10-19 Samsung Electronics Co., Ltd. Photomask structures providing improved photolithographic process windows and methods of manufacturing same
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
KR101096145B1 (ko) 2007-06-04 2011-12-19 에이에스엠엘 네델란즈 비.브이. 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US8812145B2 (en) 2010-01-22 2014-08-19 Synopsys, Inc. Modeling mask errors using aerial image sensitivity
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2008285A (en) 2011-03-11 2012-09-12 Asml Netherlands Bv Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process.
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
JP6063630B2 (ja) 2012-03-19 2017-01-18 株式会社日立ハイテクノロジーズ パターン計測装置、及び半導体計測システム
JP5966087B2 (ja) 2013-06-24 2016-08-10 株式会社日立ハイテクノロジーズ パターン形状評価装置及び方法
US9612541B2 (en) 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
SG11201602179WA (en) 2013-10-01 2016-04-28 Asml Netherlands Bv Profile aware source-mask optimization
WO2015090774A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
KR102359050B1 (ko) 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
KR20160131110A (ko) 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화
US9946166B2 (en) 2014-05-02 2018-04-17 Asml Netherlands B.V. Reduction of hotspots of dense features
KR101939313B1 (ko) 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
US20160162626A1 (en) 2014-12-01 2016-06-09 Globalfoundries Inc. Lithography process window prediction based on design data
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1365135A (zh) * 2000-12-28 2002-08-21 株式会社日立制作所 光掩模、其制造方法、图形形成方法及半导体装置的制造方法
CN1495856A (zh) * 2002-07-26 2004-05-12 Asml��Ƭ�������޹�˾ 采用偶极子照明技术依赖屏蔽的定向
CN101305320A (zh) * 2005-09-09 2008-11-12 睿初科技公司 采用独立掩模误差模型的掩模验证***和方法
US20120052418A1 (en) * 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
CN104040679A (zh) * 2011-03-28 2014-09-10 东京毅力科创株式会社 自适应配方选择器
JP2014090163A (ja) * 2012-10-03 2014-05-15 Toppan Printing Co Ltd Euvマスクの欠陥評価方法及びeuvマスクの製造方法
CN104749871A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 用于反射式光刻技术的掩模版、制作方法及其使用方法
US20170010538A1 (en) * 2014-02-11 2017-01-12 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
CN106164777A (zh) * 2014-04-14 2016-11-23 Asml荷兰有限公司 光刻过程的优化流程
US20150346610A1 (en) * 2014-05-28 2015-12-03 Applied Materials Israel, Ltd. Aerial mask inspection based weak point analysis
WO2016096338A1 (en) * 2014-12-17 2016-06-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757855B (zh) * 2019-09-06 2022-03-11 荷蘭商Asml荷蘭公司 用於在參數化模型預測中提高確定性的方法
CN113759673A (zh) * 2020-09-28 2021-12-07 台湾积体电路制造股份有限公司 极紫外光室、用于与极紫外光室使用的组件及其使用方法
CN113985709A (zh) * 2021-10-26 2022-01-28 中国科学院微电子研究所 一种定量计算等离子体超衍射光刻工艺中线边缘粗糙度的解析方法及装置
CN113985709B (zh) * 2021-10-26 2022-07-15 中国科学院微电子研究所 一种定量计算等离子体超衍射光刻工艺中线边缘粗糙度的解析方法及装置

Also Published As

Publication number Publication date
US20180348641A1 (en) 2018-12-06
US10599046B2 (en) 2020-03-24
CN108984822B (zh) 2023-12-08
KR102572252B1 (ko) 2023-08-28
KR20180132536A (ko) 2018-12-12

Similar Documents

Publication Publication Date Title
CN108984822A (zh) 用于确定是否对掩模结构进行订制的方法、非暂时性计算机可读介质和/或装置
US20200189192A1 (en) Model-based scanner tuning systems and methods
US10401732B2 (en) Optimization flows of source, mask and projection optics
CN102466985B (zh) 包括通过投影光学装置的光操纵的独立于图案和混合型匹配/调节
CN102566299B (zh) 包括通过投影光学装置的光操纵的依赖图案的邻近匹配/调节
KR101757780B1 (ko) 구배-기반 패턴 및 평가 지점 선택
KR101766734B1 (ko) 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
US10386730B2 (en) Method, program product and apparatus for predicting line width roughness and resist pattern failure and the use thereof in a lithography simulation process
KR102621036B1 (ko) 디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템
US9053280B2 (en) Rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions
US20130311958A1 (en) Pattern selection for full-chip source and mask optimization
US9588439B1 (en) Information matrix creation and calibration test pattern selection based on computational lithography model parameters
KR102641682B1 (ko) 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
TWI620033B (zh) 判定光阻變形之方法
TW201606465A (zh) 容忍蝕刻變化之最佳化
KR20220054441A (ko) 관측 시야 설정을 결정하는 방법
US20210208510A1 (en) Method and apparatus for controlling a computing process
EP4089484A1 (en) System and method to ensure parameter measurement matching across metrology tools
TW202338512A (zh) 使用基於影像失效率模型以最佳化微影設計變數之系統及方法
EP3822703A1 (en) Method for determining a field-of-view setting
WO2022135953A1 (en) Optimization of lithographic process based on bandwidth and speckle
KR20240116543A (ko) 이미지 기반 실패율 모델을 사용하여 리소그래피 디자인 변수를 최적화하기 위한 시스템 및 방법
Suh et al. Merged contact OPC using pattern type specific modeling and correction

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant