KR20220054441A - 관측 시야 설정을 결정하는 방법 - Google Patents

관측 시야 설정을 결정하는 방법 Download PDF

Info

Publication number
KR20220054441A
KR20220054441A KR1020227011786A KR20227011786A KR20220054441A KR 20220054441 A KR20220054441 A KR 20220054441A KR 1020227011786 A KR1020227011786 A KR 1020227011786A KR 20227011786 A KR20227011786 A KR 20227011786A KR 20220054441 A KR20220054441 A KR 20220054441A
Authority
KR
South Korea
Prior art keywords
fov
determining
substrate
sampling scheme
feature
Prior art date
Application number
KR1020227011786A
Other languages
English (en)
Inventor
윔 치보 텔
헤르마누스 아드리아누스 딜렌
코엔 투이즈
로랑 미쉘 마르셀 드프레즈
크리스토퍼 프렌티스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19209797.0A external-priority patent/EP3822703A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220054441A publication Critical patent/KR20220054441A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • H01J2237/221Image processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

구성 가능한 관측 시야(field of view)(FOV)를 갖는 검사 툴에 대한 FOV 설정을 결정하는 방법이 본 명세서에 개시되며, 본 방법은 기판의 적어도 일부 상의 피처의 공정 마진 분포를 획득하는 것; 임계값을 획득하는 것; 획득된 공정 마진 분포 및 임계값에 의존하여, 기판의 적어도 일부 상의 하나 이상의 영역을 식별하는 것; 및 식별된 하나 이상의 영역에 의존하여 FOV 설정을 결정하는 것을 포함한다.

Description

관측 시야 설정을 결정하는 방법
관련 출원에 대한 상호 참조
본 출원은 2019년 10월 8일에 출원된 EP 출원 19201911.5 및 2019년 11월 18일에 출원된 EP 출원 19209797.0의 우선권을 주장하며, 이들은 원용에 의해 전체적으로 본 명세서 내에 포함된다.
본 명세서에서의 설명은 기판 상에서 제조되는 반도체 구조체에 대해 수행될 수 있는 제조, 테스트, 측정 및 기타 공정에 관한 것으로서, 특히 구조체의 피처의 이미지에 의존하여 임의의 공정을 개선하기 위한 방법, 비일시적 컴퓨터 판독 가능한 매체 및 시스템에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 패터닝 디바이스 (예를 들어, 마스크)는 IC의 개별 층에 대응하는 회로 패턴 ("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟 부분을 조사하는 것과 같은 방법에 의하여, 이 회로 패턴은 방사선-감응 재료("레지스트")의 층으로 코팅된 기판 (예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분 상으로 전사될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 회로 패턴이 한 번에 한 타겟 부분씩 연속적으로 전사되는 복수의 인접한 타겟 부분을 포함한다. 한 유형의 리소그래피 투영 장치에서, 전체 패터닝 디바이스 상의 회로 패턴은 하나의 타겟 부분 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 스테퍼(stepper)로 지칭된다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치로 지칭되는 대안적인 장치에서, 투영 빔은 주어진 기준 방향 ("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판을 이동시킨다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들이 점진적으로 하나의 타겟 부분에 전사된다. 일반적으로, 리소그래피 투영 장치가 배율 인자(M)(일반적으로 < 1)를 가질 것이기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 본 명세서에 설명된 바와 같은 리소그래피 디바이스에 관한 더 많은 정보는, 예를 들어 본 명세서에서 인용 참조되는 US 6,046,792로부터 얻을 수 있다.
회로 패턴을 패터닝 디바이스로부터 기판으로 패턴을 전사하기에 앞서, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정을 겪을 수 있다. 노광 이후, 기판은 노광-후 베이크(PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 절차를 거칠 수 있다. 이 다수의 과정은 디바이스, 예를 들어 IC의 개별 층을 구성하는 기초로서 사용된다. 기판은 그후 에칭, 이온-주입(도핑), 금속화, 산화, 화학-기계적 연마 등과 같은 다양한 공정을 거칠 수 있으며, 이 모두는 디바이스의 개별 층을 마무리하도록 의도된다. 디바이스에 여러 층이 요구된다면, 각 층에 대해 전체 과정 또는 그 변형이 반복된다. 결국, 디바이스가 기판 상의 각 타겟 부분에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고, 핀에 연결될 수 있다.
주목되는 바와 같이, 리소그래피는 IC의 제조에 있어서 중심 단계이며, 여기서 기판 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능적 요소를 규정한다. 유사한 리소그래피 기술이 또한 플랫 패널 디스플레이, 미세 전자전기 시스템(MEMS) 및 다른 디바이스의 형성에 사용된 다.
반도체 제조 공정이 계속해서 발전함에 따라, 통상적으로 "무어의 법칙"이라 지칭되는 추세를 따라 기능 요소의 치수가 계속적으로 감소되는 반면에, 디바이스당 트랜지스터와 같은 기능 요소의 양은 수십 년에 걸쳐 꾸준히 증가되고 있다. 현재의 기술 상황에서, 디바이스의 층은 심자외 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치를 이용하여 제조되어, 100㎚보다 훨씬 낮은 치수, 즉 조명 소스 (예를 들어, 193㎚ 조명 소스)로부터의 방사선의 파장의 절반 미만의 치수를 갖는 개별적인 기능 요소를 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수를 갖는 피처가 프린트되는 이 공정은 통상적으로 분해능 공식
Figure pct00001
에 따라 저(low)-k1 리소그래피로서 알려져 있으며, 여기서 λ는 사용된 방사선의 파장 (현재, 대부분의 경우 248㎚ 또는 193㎚)이고, NA는 리소그래피 투영 장치 내의 투영 광학계의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기-이고, k1은 경험적 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 디자이너에 의해 계획된 형상 및 치수와 비슷한 패턴을 기판 상에 재현하기 더 어려워진다. 이 어려움을 극복하기 위해, 디바이스/대상물의 제조 시 모든 공정의 제어 매개변수의 정확한 결정이 요구된다.
제어 매개변수는 결정된 독립 계측 데이터일 수 있다. 계측 데이터는, 예를 들어 e-빔 검사 툴 또는 기판 상의 피처의 특성을 측정하는 광학 측정 툴에 의해 얻어질 수 있다. 계측 데이터는 측정된 피처의 통계적 특성을 포함할 수 있다. 피처의 계측 데이터에 의존하여 모든 피처에 대한 제어 매개변수를 얻는 것에 대한 문제는 기판의 전체 표면에 걸쳐 정확한 계측 데이터를 얻는 것이 엄청나게 오랜 시간을 필요로 한다는 것이다.
본 발명의 제1 양태에 따르면, 구성 가능한 관측 시야(field of view)(FOV)를 갖는 검사 툴에 대한 FOV 설정을 결정하는 방법이 제공되며, 본 방법은 기판의 적어도 일부 상의 피처의 공정 마진 분포를 획득하는 것; 임계값을 획득하는 것; 획득된 공정 마진 분포 및 임계값에 의존하여, 기판의 적어도 일부 상의 하나 이상의 영역을 식별하는 것; 및 식별된 하나 이상의 영역에 의존하여 FOV 설정을 결정하는 것을 포함한다.
바람직하게는, 본 방법은 샘플링 스킴을 결정하는 것을 포함하며, 여기서 샘플링 스킴을 결정하는 것은, 샘플링 스킴 및 FOV 설정을 공동 결정하는 것; FOV 설정에 의존하여 샘플링 스킴을 결정하는 것; 샘플링 스킴에 의존하여 FOV 설정을 결정하는 것; 공정 마진 분포에 의존하여 샘플링 스킴을 결정하는 것; 임계값에 의존하여 샘플링 스킴을 결정하는 것; 및 식별된 하나 이상의 영역에 의존하여 샘플링 스킴을 결정하는 것 중 하나 이상을 포함한다.
바람직하게는, 샘플링 스킴을 결정하는 것은 사용되는 FOV들의 수; 각 FOV의 위치; 각 FOV의 크기; 및 각 FOV에 의하여 획득된 이미지로 수행되는 측정의 밀도 중 하나 이상을 결정하는 것을 포함한다.
바람직하게는, FOV 설정을 결정하는 것은 FOV들의 수, 각 FOV의 크기 및/또는 각 FOV의 위치를 결정하는 것을 포함한다.
바람직하게는, 각 FOV의 크기는 각 FOV의 위치에서 구성 가능하며; 그리고 결정은 FOV의 둘 이상의 크기를 이용하도록 이루어진다.
바람직하게는, FOV 설정 및/또는 샘플링 스킴은 기판의 적어도 일부의 하나 이상의 영역에 대한 샘플링 스킴의 구현과 연관된 예상 측정 시간에 더 의존하여 결정되며, 따라서 결정된 샘플링 스킴은 기판의 적어도 일부 상의 모든 피처를 측정하는 샘플링 스킴보다 더 적은 전체 측정 시간을 제공한다.
바람직하게는, 공정 마진 분포는 기판의 적어도 일부 상의 피처에 대한 메트릭의 허용오차 레벨의 변동에 관한 데이터를 포함하며; 기판의 적어도 일부 상의 식별된 하나 이상의 영역은 공정 마진 분포로 구성된 허용오차 레벨이 임계값보다 작은 영역이다.
바람직하게는, 결정된 FOV 설정 및/또는 샘플링 스킴은 적어도 하나의 피처에 대한 메트릭을 결정하기 위하여 요구되는 적어도 하나의 피처의 결정된 최소 발생 횟수에 의존적이다.
바람직하게는, FOV 설정 및/또는 샘플링 스킴을 결정하는 것은 적어도 하나의 피처의 최소 발생 횟수를 달성하기 위하여 FOV들의 수를 증가시키도록 결정하는 것을 포함한다.
바람직하게는, FOV 설정 및/또는 샘플링 스킴을 결정하는 것은 적어도 하나의 피처의 최소 발생 횟수를 달성하기 위하여 요구되는 것보다 상당히 더 많은 FOV들을 사용하는 것을 피하기 위하여 FOV들의 수를 감소시키도록 결정하는 것을 포함한다.
바람직하게는, 본 방법은 기판의 적어도 일부 상의 피처의 계측 데이터를 획득하기 위하여, 허용 최대 측정 시간을 획득하는 것을 더 포함하며; 여기서 FOV 설정 및/또는 샘플링 스킴은 결정된 FOV 설정 및/또는 샘플링 스킴에 따라 측정을 얻기 위하여 요구되는 시간이 허용 최대 측정 시간보다 작거나 같도록, 획득된 허용 최대 측정 시간에 의존하여 결정된다.
바람직하게는, 공정 마진 분포는 피처에 대한 메트릭의 값을 포함하며; 메트릭은 에지 배치 오차(EPE); 오버레이 국부 오버레이(LOVL); 또는 국부 임계 치수 균일성(LCDU)이다.
바람직하게는, 공정 마진 분포는 EPE 임계도 맵이다.
바람직하게는, 검사 툴은 e-빔 기반 검사 툴 또는 광학 검사 툴이다.
바람직하게는, 검사 툴은 계측 장치로 구성된다.
바람직하게는, 공정 마진 분포는 기판 상의 하나 이상의 층의 디자인 데이터에 의존적이다.
바람직하게는, 기판 상의 하나 이상의 층의 상기 디자인 데이터는 기판 상의 하나 이상의 층의 처리에 사용되는 하나 이상의 마스크의 디자인 데이터에 의존적이다.
바람직하게는, 공정 마진 분포는 하나 이상의 층에 대한 공정의 모델링 단계에 의존적이다.
바람직하게는, 모델링 단계는 마스크의 노광 후에 형성되는 실제 디바이스 피처의 하나 이상의 특성을 예측하는 물리적 모델의 사용 및 마스크의 노광 후에 수행되는 하나 이상의 추가 공정 단계를 포함한다.
바람직하게는, 공정 마진 분포는 하나 이상의 층에 관한 실제 측정 데이터에 의존적이다.
바람직하게는, 임계값은 제조 공정이 기판의 상기 적어도 일부에 기능적 디바이스를 생성하는 최소 요구 확률과 같은 공정 수율 요건을 기반으로 한다.
바람직하게는, FOV는 0.1㎛×0.1㎛ 내지 40㎛×40㎛ 범위에 있다.
본 발명의 제2 양태에 따르면, 기판의 적어도 일부분 상의 피처의 계측 데이터를 획득하는 방법이 개시되며, 본 방법은 제1 양태의 방법에 따라 검사 도구에 대한 샘플링 스킴 및/또는 관측 시야(FOV) 설정을 결정하는 것; 기판의 적어도 일부 상의 각각의 하나 이상의 영역의 하나 이상의 이미지를 획득하기 위해, 결정된 샘플링 스킴 및/또는 FOV 설정을 이용하는 것; 및 획득된 하나 이상의 이미지에 의존하여 기판의 적어도 일부 상의 피처의 계측 데이터를 결정하는 것을 포함한다.
본 발명의 제3 양태에 따르면, 컴퓨팅 시스템과 검사 툴이 제공되며, 여기서 컴퓨팅 시스템은 제1 양태의 방법에 따라 검사 툴에 대한 샘플링 스킴 및/또는 관측 시야(FOV) 설정을 결정하도록 배열되며; 또한 검사 툴은 결정된 샘플링 스킴 및/또는 FOV 설정에 의존하여 기판의 적어도 일부의 하나 이상의 영역의 이미지를 획득하도록 배열된다.
본 발명의 제4 양태에 따르면, 제3 양태에 따른 컴퓨팅 시스템과 검사 툴을 포함하는 계측 장치가 제공된다.
본 발명의 제5 양태에 따르면, 실행될 때 컴퓨팅 시스템이 제1 양태의 방법을 수행하게 하는 명령어를 포함하는 비일시적 컴퓨터 판독-가능한 매체가 제공된다.
도 1은 리소그래피 시스템의 다양한 서브시스템의 블록도이다.
도 2는 도 1의 서브시스템에 대응하는 시뮬레이션 모델의 블록도이다.
도 3a는 LER을 개략적으로 도시하고 있다.
도 3b는 LWR을 개략적으로 도시하고 있다.
도 3c는 확률적 변동이 리소그래피에 어떻게 영향을 미칠 수 있는지를 개략적으로 도시하고 있다.
도 4a 및 도 4b는 에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 하나 이상의 디자인 변수 사이의 관계를 결정하는 방법을 개략적으로 보여주고 있다.
도 5a 및 도 5b는 관계를 이용한 피팅의 결과를 보여주고 있다.
도 6은 확률적 변동을 계산하고 도시하기 위한 예시적인 흐름도를 보여주고 있다.
도 7은 확률적 변동을 이용하여 식별된 핫 스폿을 보여주고 있다.
도 8은 다수의 조건에서의 그리고 디자인 변수의 다수의 값에서의 확률적 변동의 값을 포함하는 비-일시적 컴퓨터 판독 가능한 매체를 보여주고 있다.
도 9a 및 도 9b 각각은 에지에 수직인 방향(x)으로 패턴의 에지를 걸친 (에어리얼 또는 레지스트) 이미지의 세기를 보여주고 있다.
도 10은 EPEILS 항의 곡선을 개략적으로 보여주고 있다.
도 11은 합동 최적화/공동-최적화의 예시적인 방법론의 양태를 도시하는 흐름도이다.
도 12는 추가 최적화 방법의 예를 보여주고 있다.
도 13a, 도 13b 및 도 14는 다양한 최적화 공정의 예시적인 흐름도를 보여주고 있다.
도 15a는 특성의 확률적 변동 (예를 들어, LER) 또는 그의 함수 (예를 들어, bl_ILS, ILS, 또는 NILS)를 기반으로 에어리얼 이미지 또는 레지스트 이미지 상의 핫 스폿을 식별하는 방법에 대한 흐름도를 보여주고 있다.
도 15b는 에어리얼 이미지 또는 레지스트 이미지의 특성 (예를 들어, 에지 위치)의 확률적 변동 (예를 들어, LER) 또는 그의 함수 (예를 들어, bl_ILS, ILS, 또는 NILS)를 기반으로 에어리얼 이미지 또는 레지스트 이미지 상의 핫 스폿을 식별하는 추가 방법에 대한 흐름도를 보여주고 있다.
도 16은 에어리얼 이미지 또는 레지스트 이미지의 하나 이상의 특성 (예를 들어, 에지 위치)의 확률적 변동(예를 들어, LER)을 감소시키는 방법에 대한 흐름도를 보여주고 있다.
도 17은 예시적인 컴퓨터 시스템의 블록도이다.
도 18은 리소그래피 투영 장치의 개략도이다.
도 19는 또 다른 리소그래피 투영 장치의 개략도이다.
도 20은 도 19의 장치의 보다 상세한 도면이다.
도 21은 도 19 및 도 20의 장치의 소스 컬렉터 모듈(SO)의 보다 상세한 도면이다.
도 22는 처리량과 확률적 변동의 척도의 몇 가지 관계를 보여주고 있다.
도 23은 하나 이상의 디자인 변수의 값들의 세트에 대한 최적화를 수행하고, 사용자가 사용자의 원하는 특성을 기반으로 하나 이상의 디자인 변수의 값들의 세트를 선택할 수 있도록 사용자에게 공정, 에어리얼 이미지, 및/또는 레지스트 이미지의 다양한 특성을 제공하는 방법의 흐름도를 개략적으로 도시하고 있다.
도 24는 기판 상의 피처의 이미지를 보여주고 있다.
도 25는 실시예에 따른 복수의 정렬된 그리고 스택형 이미지를 보여주고 있다.
도 26은 실시예에 따른 방법의 흐름도이다.
도 27a는 기판 상의 영역에 걸쳐 분포된 피처의 EPE 임계도 변동을 도시하고 있다.
도 27b는 기판 상의 영역에 걸쳐 분포된 피처의 EPE 임계도 변동을 도시하고 있다.
도 27c는 실시예에 따른 결정된 FOV 설정을 도시하고 있다.
도 27d는 실시예에 따른 결정된 FOV 설정을 도시하고 있다.
도 28은 실시예에 따른 구성 가능한 관측 시야(FOV)를 갖는 검사 툴에 대한 관측 시야(FOV) 설정을 결정하기 위한 공정의 흐름도이다.
본 명세서에서 IC의 제조에 대한 특정 참조가 이루어질 수 있지만, 본 명세서 내의 설명은 많은 다른 가능한 적용을 갖는다는 점이 명확하게 이해되어야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조에 이용될 수 있다. 이러한 대안적인 적용의 문맥에서, 본 명세서에서의 용어 "레티클", "웨이퍼" 또는 "다이"의 임의의 사용은 보다 일반적인 용어 "마스크", "기판" 및 "타겟 부분"과 각각 교환 가능한 것으로 간주되어야 한다는 점을 숙련된 자는 인식할 것이다.
본 명세서에서, 용어 "방사선" 및 "빔"은 (예를 들어, 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외 방사선 및 EUV (예를 들어, 약 5 내지 20㎚ 범위의 파장을 갖는 극자외 방사선)를 포함하는 모든 유형의 전자기 방사선을 포함하기 위하여 사용된다.
본 명세서에서 사용되는 바와 같은 용어 "최적화하는" 및 "최적화"는 리소그래피의 결과 및/또는 공정이 기판 상의 디자인 레이아웃의 투영의 더 높은 정확도, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성을 갖도록 리소그래피 투영 장치, 리소그래피 공정 등을 조정하는 것을 지칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 바와 같은 용어 "최적화하는" 및 "최적화"는 하나 이상의 매개변수에 대한 하나 이상의 값의 초기 세트와 비교하여, 적어도 하나의 관련 메트릭(metric)에서 개선, 예를 들어 국부적 최적을 제공하는 하나 이상의 매개변수에 대한 하나 이상의 값을 식별하는 공정을 지칭하거나 의미한다. "최적" 및 다른 관련 용어는 이에 따라 해석되어야 한다. 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가 개선을 제공하도록 반복적으로 적용될 수 있다.
또한, 리소그래피 투영 장치는 2개 이상의 테이블 (예를 들어, 2개 이상의 기판 테이블, 기판 테이블과 측정 테이블, 2개 이상의 패터닝 디바이스 테이블 등)을 갖는 유형일 수 있다. 이러한 "다중 스테이지" 디바이스에서, 복수의 다중 테이블이 동시에 사용될 수 있거나, 하나 이상의 다른 테이블이 노광을 위해 사용되고 있는 동안 하나 이상의 테이블에서 준비 단계가 수행될 수 있다. 트윈 스테이지 리소그래피 투영 장치는 예를 들어 US 5,969,441에 설명되어 있으며, 이 특허는 원용에 의해 본 명세서 내에 포함된다.
위에서 언급된 패터닝 디바이스는 하나 이상의 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD (컴퓨터 이용 디자인) 프로그램을 이용하여 생성될 수 있으며, 이 공정은 흔히 EDA (전자 디자인 자동화)로 지칭된다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위하여 사전 결정된 디자인 규칙의 세트를 따른다. 이 규칙은 처리 및 디자인 제한에 의하여 설정된다. 예를 들어, 디자인 규칙은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않는다는 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호 연결 라인들 사이의 간격 허용오차(space tolerance)를 규정한다. 디자인 규칙 제한들 중 하나 이상은 "임계 치수"(CD)로 지칭될 수 있다. 회로의 임계 치수는 라인 또는 홀의 최소 폭, 또는 2개의 라인 또는 2개의 홀 간의 가장 작은 간격으로 규정될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제조의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다
본 명세서에서 사용되는 바와 같은 용어 "마스크" 또는 "패터닝 디바이스"는 기판의 타겟 부분에 생성될 패턴에 대응하여, 입사하는 방사선 빔에 패터닝된 횡단면을 부여하기 위해 사용될 수 있는 일반적인 패터닝 디바이스를 지칭하는 것으로 폭넓게 해석될 수 있다; 용어 "광 밸브(light valve)" 또한 이 맥락에서 사용될 수 있다. 전형적인 마스크 (투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등) 이외에, 다른 이러한 패터닝 디바이스의 예는:
- 프로그램 가능한 미러 어레이 (이러한 디바이스의 예는 점탄성 제어층과 반사 표면을 갖는 매트릭스-어드레스 가능한(matrix-addressable) 표면이다. 이러한 장치 뒤에 있는 기본적인 원리는 (예를 들어) 반사 표면의 어드레스된 영역이 입사 방사선을 회절 방사선으로 반사하는 반면, 어드레스되지 않은(unaddressed) 영역은 입사 방사선을 비회절 방사선으로 반사한다는 것이다. 적절한 필터를 사용하여, 상기 비회절 방사선은 반사 빔에서 필터링되어 뒤에 회절 방사선만을 남길 수 있다; 이러한 방식으로 빔은 매트릭스-어드레스 가능한 표면의 어드레싱 패턴(addressing pattern)에 따라 패터닝된다. 요구되는 매트릭스 어드레싱은 적절한 전자 수단을 사용하여 수행될 수 있다. 이러한 미러 어레이에 관한 더 많은 정보는, 예를 들어 원용에 의해 본 명세서에서 포함되는 미국 특허 제5,296,891호 및 제5,523,193호로부터 얻을 수 있다);
- 프로그램 가능한 LCD 어레이 (이러한 구성의 예는 미국 특허 제5,229,872호에 주어지며, 이는 원용에 의해 본 명세서에서 포함된다)를 포함한다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 도시하고 있다. 주요 구성 요소는 심자외 엑시머 레이저 소스 또는 극자외(EUV) 소스를 포함한 다른 유형의 소스일 수 있는 방사선 소스(12A) (위에서 논의된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없다); 예를 들어 (시그마로서 표시된) 부분 간섭성 (partial coherence)을 규정하고 소스(12A)로부터의 방사선을 성형하는 광학계(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학계; 패터닝 디바이스(18A); 및 패터닝 디바이스 패턴의 이미지를 기판 평면(22A)으로 투영하는 투과 광학계(16Ac)이다. 투영 광학계의 퓨필 평면에서의 조정 가능한 필터 또는 어퍼처(aperture)(20A)가 기판 평면(22A) 상에 부딪히는 빔 각도의 범위를 제한할 수 있으며, 여기서 최대 가능한 각도는 투영 광학계의 개구수(numerical aperture) NA=n sin(Θmax)를 규정하며, 여기서 n은 투영 광학계의 최종 요소와 기판 사이의 매질의 굴절률이다.
시스템의 최적화 공정에서, 시스템의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 공정은 비용 함수를 최적화 (예를 들어, 최소화 또는 최대화)하는 시스템의 매개변수 (디자인 변수)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 임의의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성의 의도된 값 (예를 들어, 이상적인 값)에 대한 이 특성 (평가 포인트(evaluation point))의 편차의 가중된 제곱 평균 제곱근(root mean square)(RMS)일 수 있다; 비용 함수는 또한 이 편차의 최대값 (즉, 가장 심한 편차)일 수 있다. 본 명세서에서의 용어 "평가 포인트"는 시스템의 임의의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 유한한 범위로 제한될 수 있으며 및/또는 시스템의 구현의 실용성(practicality)으로 인하여 상호 의존적일 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 조절 가능한 범위 및/또는 패터닝 디바이스 제조 가능성 디자인 규칙과 같은 하드웨어의 물리적 속성 및 특성과 연관되며, 평가 포인트는 선량과 초점과 같은 비물리적 특성뿐만 아니라 기판 상의 레지스트 이미지에 대한 물리적 포인트를 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명 (즉, 방사선)을 제공하며, 투영 광학계는 패터닝 디바이스를 통해 기판 상으로 조명을 지향시키고 성형시킨다. 용어 "투영 광학계"는 방사선 빔의 파면을 변경할 수 있는 임의의 광학 구성 요소를 포함하도록 본 명세서에서 넓게 규정된다. 예를 들어, 투영 광학계는 구성 요소(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층은 노광되며 에어리얼 이미지는 그 안의 잠재 "레지스트 이미지"(RI)로서 레지스트 층에 전사된다. 레지스트 이미지(RI)는 레지스트 층 내에서의 레지스트의 용해도의 공간적 분포로 규정될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위하여 사용될 수 있으며, 그 예는 미국 특허 출원 공개 번호 US2009-0157630에서 찾을 수 있으며, 그 내용은 그 전체가 여기에 인용 참조된다. 레지스트 모델은 레지스트 층의 특성 (예를 들어, 노광, PEB 및 현상 중에 발생하는 화학 공정의 효과)에만 관련된다. 리소그래피 투영 장치의 광학 특성 (예를 들어, 소스, 패터닝 디바이스 및 투영 광학계의 특성)은 에어리얼 이미지에 영향을 준다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 변경될 수 있기 때문에, 적어도 소스 및 투영 광학계를 포함하는 리소그래피 투영 장치의 나머지의 광학 특성으로부터 패터닝 디바이스의 광학 특성을 분리하는 것이 바람직하다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하기 위한 예시적인 흐름도가 도 2에 도시되어 있다. 소스 모델(31)은 (방사선 세기 분포 및/또는 위상 분포를 포함하는) 소스의 광학적 특성을 나타낸다. 투영 광학계 모델(32)은 투영 광학계의 (투영 광학계에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화를 포함하는) 광학 특성을 나타낸다. 디자인 레이아웃 모델(35)은 디자인 레이아웃의 (주어진 디자인 레이아웃(33)에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화를 포함하는 광학 특성)을 나타내며, 이 디자인 레이아웃은 패터닝 디바이스 상의 또는 패터닝 디바이스에 의하여 형성된 피처의 배열체의 표현이다. 에어리얼 이미지(36)는 디자인 레이아웃 모델(35), 투영 광학계 모델(32) 및 디자인 레이아웃 모델(35)로부터 시뮬레이션될 수 있다. 레지스트 이미지(38)는 레지스트 모델(37)을 사용하여 에어리얼 이미지(36)로부터 시뮬레이션될 수 있다. 예를 들어 리소그래피의 시뮬레이션은 레지스트 이미지 내에서 윤곽과 CD를 예측할 수 있다.
보다 구체적으로, 소스 모델(31)은 NA 설정, 시그마(σ) 설정뿐만 아니라 임의의 특정 조명 형상 (예를 들어, 환형, 사중극자, 쌍극자 등과 같은 축외 조명)을 포함하지만 이에 제한되지 않는 소스의 광학적 특성을 나타낼 수 있다는 점이 주목된다. 투영 광학계 모델(32)은 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등을 포함하는, 투영 광학계의 광학 특성을 나타낼 수 있다. 디자인 레이아웃 모델(35)은, 예를 들어 그 전체가 인용 참조되는 미국 특허 제7,587,704호 설명된 바와 같이, 물리적 패터닝 디바이스의 하나 이상의 물리적 특성을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 에지 배치, 에어리얼 이미지 세기 기울기 및/또는 CD를 정확히 예측하는 것이며, 이는 그 후 의도된 디자인과 비교될 수 있다. 의도된 디자인은 일반적으로, GDSII, OASIS와 같은 표준화된 디지털 파일 형식 또는 다른 파일 형식으로 제공될 수 있는 OPC 전 (pre-OPC) 디자인 레이아웃으로 규정된다.
이 디자인 레이아웃으로부터, "클립(clip)"으로 지칭되는 하나 이상의 부분이 식별될 수 있다. 예에서, 디자인 레이아웃의 복잡한 패턴을 나타내는 클립의 세트가 추출된다 (임의의 수의 클립이 사용될 수 있지만, 전형적으로, 약 50 내지 1,000개의 클립,). 이 패턴 또는 클립은 디자인의 작은 부분 (즉, 회로, 셀 또는 패턴)을 나타내며, 특히 클립은 전형적으로 특정 주의 및/또는 검증이 요구되는 작은 부분을 나타낸다. 다시 말하면, 클립은 하나 이상의 임계 피처가 경험에 의하여 (고객에 의해 제공된 클립을 포함함), 시행착오에 의하여, 또는 풀-칩 시뮬레이션을 실행하는 것에 의하여 식별되는 디자인 레이아웃의 부분일 수 있거나, 또는 디자인 레이아웃의 부분과 유사할 수 있거나, 또는 디자인 레이아웃의 부분의 유사한 거동을 가질 수 있다. 클립은 하나 이상의 테스트 패턴 또는 게이지 패턴(gauge pattern)을 포함할 수 있다.
클립의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 하나 이상의 공지된 임계 피처 영역을 기반으로 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 예에서, 클립의 더 큰 초기 세트는 하나 이상의 임계 피처 영역을 식별하는 일부 종류의 자동화 (예를 들어, 머신 비전(machine vision)) 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
예를 들어, EUV (예를 들어, 5 내지 20㎚ 범위 내의 파장을 갖는 극자외 방사선) 소스 또는 비-EUV 소스를 이용하는 리소그래피 투영 장치에서, 감소된 방사선 세기가 더 강한 확률적 변동, 예를 들어 홀과 같은 작은 2-차원 피처에서의 확연한 라인 폭 거칠기 및/또는 국부적 CD 변동으로 이어질 수 있다. EUV 소스를 이용하는 리소그래피 투영 장치에서, 감소된 방사선 세기는 소스로부터 출력되는 낮은 총 방사선, 소스로부터의 방사선을 성형하는 광학계로부터의 방사선 손실, 투영 광학계를 통한 투과 손실, 일정한 선량 하에서 더 적은 광자를 초래하는 높은 광자 에너지 등에 기인할 수 있다. 확률적 변동은 광자 샷 노이즈(photon shot noise), 광자-발생(photongenerated) 이차 전자, 광자 흡수 변동, 및/또는 레지스트 내의 광자-발생 산과 같은 요인에 기인할 수 있다. 작은 크기의 피처는 이 확률적 변동을 더 심각하게 만든다(compound). 더 작은 피처에서의 확률적 변동은 생산 수율에서 중요한 요인이며 또한 리소그래피 공정 및/또는 리소그래피 투영 장치의 다양한 최적화 공정 내 포 함을 정당화한다
동일한 방사선 세기 하에서, 각 기판의 더 적은 노광 시간이 리소그래피 투영 장치의 더 높은 처리량으로 이어지지만, 더 강한 확률적 변동을 야기한다. 주어진 방사선 세기 하에서의 주어진 피처에서의 광자 샷 노이즈는 노광 시간의 제곱근에 비례한다. EUV 및 다른 방사선 소스를 이용하는 리소그래피에는 처리량을 증가시키는 목적을 위하여 노광 시간을 줄이려는 요구가 있다. 따라서, 최적화 공정에서 확률적 변동을 고려하는, 본 명세서에 설명되는 방법 및 장치는 EUV 리소그래피에 제한되지 않는다.
또한, 처리량은 또한 기판으로 지향되는 방사선의 총량에 의해 영향을 받을 수 있다. 일부 리소그래피 투영 장치에서, 소스로부터의 방사선의 일부분은 조명의 원하는 형상을 달성하기 위해 희생된다
도 3a는 라인 에지 거칠기(LER)를 개략적으로 도시하고 있다. 모든 조건이 디자인 레이아웃 상의 피처의 에지(903)의 3개의 노광 또는 노광의 시뮬레이션에서 동일하다고 가정하면, 에지(903)의 레지스트 이미지(903A, 903B 및 903C)들은 약간 상이한 형상들 및 위치들을 가질 수 있다. 레지스트 이미지(903A, 903B 및 903C)의 위치(904A, 904B 및 904C)는 레지스트 이미지(903A, 903B 및 903C)를 각각 평균을 냄으로써 측정될 수 있다. 라인 에지 거칠기와 같은 확률적 변동은 일반적으로 기본 특성의 분포의 매개변수로 나타내어진다. 이 예에서, 에지(903)의 LER은 에지(903)의 공간 분포의 3σ에 의해 나타내어질 수 있으며, 상기 분포는 정규 분포라고 가정한다. 3σ는 에지(903)의 많은 노광 또는 시뮬레이션에서의 에지(903)의 위치 (예를 들어, 위치(904A, 904B 및 904C))로부터 도출될 수 있다. LER은 확률적 영향으로 인하여 아마도 에지(903)가 포함될 범위를 나타내고 있다. 이 이유로, LER은 또한 확률적 에지 배치 오차(SEPE)로 불릴 수 있다. LER은 비확률적 영향에 의해 야기되는 에지(903) 위치의 변화보다 클 수 있다.
도 3b는 라인 폭 거칠기(LWR)를 개략적으로 도시하고 있다. 모든 조건이 디자인 레이아웃 상의 폭(911)을 갖는 긴 직사각형 피처(910)의 3개의 노광 또는 노광의 시뮬레이션에서 동일하다고 가정하면, 직사각형 피처(910)의 레지스트 이미지(910A, 910B 및 910C)들은 약간 상이한 폭(911A, 911B 및 911C)을 각각 가질 수 있다. 직사각형 피처(910)의 LWR은 폭(911A, 911B 및 911C)의 분포의 척도(measure)일 수 있다. 예를 들어, 분포가 정규 분포인 것으로 가정하면, LWR은 폭(911)의 분포의 3σ일 수 있다. LWR은 직사각형 피처(910)의 폭(911) (예를 들어, 폭(911A, 911B 및 911C))의 많은 노광 또는 시뮬레이션으로부터 도출될 수 있다. 짧은 피처 (예를 들어, 콘택트 홀(contact hole))의 맥락에서, 그의 이미지들의 폭들은 잘 규정되지 않으며, 이는 그들의 위치들을 평균화하기 위하여 긴 에지가 이용 가능하지 않기 때문이다. 확률적 변동을 특성화하기 위해 유사한 양(quantity), LCDU가 사용될 수 있다. LCDU는 짧은 피처의 이미지의 측정된 CD의 분포 (이 분포는 정규 분포인 것으로 가정함)의 3σ이다.
도 3c는 확률적 변동이 리소그래피에 어떻게 영향을 미칠 수 있는지를 개략적으로 도시하고 있다. 도 3c의 예에서, 에어리얼 이미지 또는 레지스트 이미지 내의 피처의 에지의 의도된 위치는 점선(982)으로 나타내어진다. 실제 에지는 곡선(995)으로 나타내어지며, 이는 확률적 변동 (이 예에서는 LER)과 확률적 영향에 관련 없는 (예를 들어, 선량 변동, 초점 변동, 소스 형상, 패터닝 디바이스 (예를 들어, 마스크) 오차 등과 같은 다른 요인에 의해 야기된) 오차 모두를 포함한다. 실제 에지의 평균 위치는 실선(981)으로 나타내어진다. 평균 위치(실선 (981))와 의도된 위치(점선(982)) 간의 차이(980)는 확률적 영향에 관련 없는 오차이며, 이는 에지 배치 오차(EPE)로 지칭될 수 있다. 평균 위치에 대한 실제 에지의 변동은 확률적 변동이다. 확률적 변동을 에워싸는 평균 위치(실선(981)) 주위의 대역(band)(990)은 확률적 변동 대역으로 불릴 수 있으며, 이는 확률적 영향으로 인해 실제 국부적 에지 배치가 도달할 수 있는 정도를 나타낸다. 확률적 변동 대역의 폭은 EPE보다 클 수 있다. 따라서, 에지의 의도된 위치(점선(982))로부터의 총 확률론적 편차(total probabilistic deviation)는 EPE와 확률적 변동 대역의 합일 수 있다. 확률적 변동이 존재하지 않는 경우, 이 예에서의 에지의 실제 위치는 실선(981)에 의해 나타내어진 위치에 있을 것이며, 이는 이웃하는 피처(983)와 병합하지 않고, 따라서 결함을 생성하지 않는다. 그러나 확률적 변동이 존재하고 확률적 변동 대역이 충분히 큰 경우 (예를 들어, 대역(990)), 실제 에지는 이웃하는 피처(983)와 병합할 수 있고 (점선 원에 의해 표시됨), 이에 따라 결함을 생성한다. 따라서, 확률적 변동을 평가하거나, 시뮬레이션하거나, 또는 감소시키는 것이 바람직하다.
에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 하나 이상의 디자인 변수 간의 관계를 결정하는 방법이 도 4a의 흐름도 및 도 4b의 개략도에 도시되어 있다. 단계 1301에서, 하나 이상의 디자인 변수의 값들의 복수의 세트(1501)의 각각에 대해 (실제 노광 또는 시뮬레이션에 의해) 형성된 복수의 에어리얼 이미지 또는 레지스트 이미지(1502)로부터 특성의 값(1503)이 측정된다. 단계 1302에서, 확률적 변동의 값(1505)은 하나 이상의 디자인 변수의 값의 세트(1501)에 대해 형성된 에어리얼 이미지 또는 레지스트 이미지로부터 측정된 특성의 값(1503)의 분포(1504)로부터 하나 이상의 디자인 변수의 값의 각 세트(1501)에 대해 결정된다. 단계 1303에서, 확률적 변동의 값(1504) 및 하나 이상의 디자인 변수의 값의 세트(1501)로부터 모델의 하나 이상의 매개변수를 피팅함으로써 관계(1506)가 결정된다.
예에서, 확률적 변동은 LER이며, 하나 이상의 디자인 변수는 블러링된 이미지(blurred image)(ILS(bl_ILS)), 선량 및 이미지 세기이다. 모델은 다음과 같을 수 있다:
Figure pct00002
(수학식 30)
매개변수(a, b 및 c)는 피팅에 의해 결정될 수 있다. 블러링된 이미지(ILS(bl_ILS))는 공간 블러(spatial blur)가 적용된 이미지 로그 슬로프(ILS)이다. 공간 블러는 방사선에 대한 노광에 의해 레지스트 층에 발생되는 화학종의 확산으로 인한 레지스트 이미지의 블러(blur)를 나타낼 수 있다.
도 5a는 수학식 30의 모델을 이용한 피팅의 결과를 보여주고 있다. 일정한 이미지 세기 및 일정한 선량에서의 긴 트렌치(1401), 긴 라인(1402), 짧은 라인(1403), 짧은 트렌치(1404), 짧은 라인 종단(1405), 및 짧은 트렌치 종단(1406)을 포함하는 900개 이상의 상이한 피처의 (확률적 변동의 예로서) LER의 값(1400)이 도 4a 및 도 4b의 방법을 따라 결정된다. 수학식 30의 매개변수(a 및 b)(매개변수 c는 선량 가중된 블러링된 이미지 세기가 일정하기 때문에 매개변수(a)로 합쳐진다)는 LER의 값을 디자인 변수(bl_ILS)의 값과 피팅함으로써 결정된다. 피팅 결과는 곡선(1410)에서 보여진다.
도 5b는 수학식 30의 모델을 이용한 피팅의 결과(1510)를 보여주고 있다. 다양한 선량 및 다양한 이미지 세기에서의 20×40㎚ 트렌치(1505)의 폭 방향으로의 CD의 그리고 길이 방향으로의 CD의 (확률적 변동의 예로서) LCDU의 값(1500)이 도 4a 및 도 4b의 방법을 이용하여 결정된다. 수학식 30의 매개변수(a, b 및 c)는 LWR의 값을 디자인 변수(bl_ILS), 선량 및 이미지 세기의 값과 피팅함으로써 결정된다
에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 하나 이상의 디자인 변수 간의 관계가 도 4a 및 도 4b의 방법과 같은 방법에 의해 결정되면, 확률적 변동의 값이 그 관계를 이용하여 그 특성에 대해 계산될 수 있다. 도 6은 이 계산에 대한 예시적인 흐름도를 보여주고 있다. 단계 1610에서, 조건의 세트 (예를 들어, NA, σ, 선량, 초점, 레지스트 화학적 성질, 하나 이상의 투영 광학계 매개변수, 하나 이상의 조명 매개변수 등)가 선택된다. 단계 1620에서, 하나 이상의 디자인 변수의 값이 이 조건 하에서 계산된다. 예를 들어, 레지스트 이미지의 에지 위치 및 에지를 따르는 bl_ILS의 값. 단계 1630에서, 확률적 변동과 하나 이상의 디자인 변수 간의 관계로부터 확률적 변동의 값이 계산된다. 예를 들어, 예에서, 확률적 변동은 에지의 LER이다. 선택적인 단계 1640에서, 노이즈 벡터(noise vector)가 규정될 수 있으며, 이의 주파수 분포(frequency distribution)는 실제 기판 측정과 거의 일치한다. 선택적인 단계 1650에서, 노이즈 벡터는 결과 (예를 들어, 에어리얼 이미지 또는 레지스트 이미지의 확률적 에지) 위에 더해진다(overlay).
에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 하나 이상의 디자인 변수 간의 관계가 또한 도 7에서 보여지는 바와 같이, 에어리얼 이미지 또는 레지스트 이미지의 하나 이상의 "핫 스폿(hot spot)"을 식별하기 위해 사용될 수 있다. "핫 스폿"은 확률적 변동이 특정 규모를 넘는 이미지 상의 위치로서 규정될 수 있다. 예를 들어, 2개의 인근 에지들 상의 2개의 위치가 큰 LER 값을 갖는다면, 이 2개의 위치는 서로 결합할 가능성이 높다.
예에서, 복수의 조건에서의 그리고 하나 이상의 디자인 변수의 복수의 값에서의 확률적 변동 (및/또는 이의 함수)의 값이 하드 드라이브에 저장된 데이터베이스와 같은, 도 8에서 보여지는 바와 같은 비-일시적 컴퓨터 판독 가능한 매체(1800)에서 계산되고 컴파일(compile)될 수 있다. 컴퓨터는 매체(1800)에 질의(query)하고 매체(1800)의 콘텐츠로부터 확률적 변동의 값을 계산할 수 있다.
에어리얼/레지스트 이미지의 특성의 확률적 변동의 결정은 리소그래피 공정에서 많은 방식으로 유용할 수 있다. 일 예에서, 확률적 변동은 광학 근접 보정(optical proximity correction)(OPC)에서 고려될 수 있다.
예로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치와 동일하지 않거나 이에만 의존할 것이라는 사실을 다룬다. 용어 "마스크", "레티클", "패터닝 디바이스"는 본 명세서에서 교환 가능하게 이용된다는 점이 주목된다. 또한, 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 본 기술 분야의 지식을 가진 자는 특히 리소그래피 시뮬레이션/최적화의 문맥에서 용어 "마스크"/"패터닝 디바이스" 및 "디자인 레이아웃"이 교환 가능하게 이용될 수 있다는 점을 인식할 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기 및 높은 피처 밀도에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재 또는 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과는 한 피처에서 또 다른 피처로 커플링(couple)된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과로부터 발생한다. 유사하게, 근접 효과는 예를 들어 일반적으로 리소그래피를 뒤따르는 노광 후 베이크(PEB), 레지스트 현상, 및 에칭 동안에 확산 및 다른 화학적 영향으로부터 발생할 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건에 따르는 것을 보장하는데 도움을 주기 위해, 정교한 수치 모델, 디자인 레이아웃의 보정 또는 전치-왜곡(pre-distortion)을 이용하여 근접 효과들이 예측되고 보상되어야 한다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol.5751, pp 1-14, 2005)은 "모델-기반" 광학 근접 보정 공정의 개요를 제공한다. 전형적인 고급(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위하여 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정은 라인 폭 또는 에지 위치의 시프팅 또는 바이어싱(biasing), 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트(assist)" 피처의 적용을 포함할 수 있다.
타겟 디자인에 대한 모델-기반 OPC의 적용은, 칩 디자인에 전형적으로 존재하는 수 백만의 피처를 고려해볼 때, 상당한 연산 리소스(computational resource) 및 우수한 공정 모델을 수반한다. 하지만, OPC를 적용하는 것은 일반적으로 "정밀 과학"이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 경험적인 반복 공정이다. 따라서, 패터닝 디바이스 패턴으로 구축되는 디자인 결함의 가능성을 최소화하거나 감소시키기 위하여, OPC의 효과, 예를 들어 OPC 및/또는 임의의 다른 RET의 적용 후의 디자인 레이아웃은 디자인 검사, 즉 교정된 수치 공정 모델을 이용한 집약적인 풀-칩 시뮬레이션에 의하여 검증되어야 한다. 이는 수백만 달러 범위에서 운영되는 고급 패터닝 장치를 만드는 데 드는 막대한 비용 및 제조된 실제 패터닝 디바이스를 재작업하거나 수리함으로써 운영(turn-around) 시간에 미치는 영향에 의해 주도된다.
OPC 및 풀-칩 RET 검증은 둘 모두는, 예를 들어 미국 특허 출원 공개 번호 US 2005-0076322호 및 제목이 "Optimized Hardware and Software For Fast, Full Chip Simulation"(Y. Cao 외, Proc. SPIE, Vol. 5754, 405, 2005)인 논문에서 설명되는 바와 같은 모델링 시스템 및 방법을 기반으로 할 수 있다.
한 RET는 디자인 레이아웃의 전역적 바이어스 (또한 "마스크 바이어스"로 지칭됨)의 조정과 관련된다. 전역적 바이어스는 기판 상에 프린트되도록 의도된 패턴과 디자인 레이아웃의 패턴 간의 차이이다. 예를 들어, 투영 광학계에 의한 확대(축소)를 무시하고, 25㎚ 직경의 원형 패턴은 디자인 레이아웃의 50㎚ 직경 패턴에 의하여 또는 디자인 레이아웃의 20㎚ 직경 패턴에 의하여, 그러나 높은 선량으로 기판 상에 프린트될 수 있다.
디자인 레이아웃 또는 패터닝 디바이스에 대한 최적화 (예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명 또한 최적화될 수 있다. 용어 "조명 소스"와 "소스"는 본 명세서에서 교환 가능하게 사용된다. 환형, 사중극자, 및 이중극자와 같은 많은 축외 조명이 도입되었으며 OPC 디자인에 대해 더 많은 자유를 제공하였고, 이에 의하여 이미징 결과를 개선한다. 축외 조명은 패터닝 디바이스에 포함된 미세 구조체 (즉, 타겟 피처)를 분해하는 방식이다. 하지만, 전형적인 조명과 비교할 때, 축외 조명은 보통 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적의 밸런스를 달성하도록 조명을 최적화하려는 시도가 바람직해진다.
많은 조명 최적화 접근법은, 예를 들어 제목이 "Optimum Mask and Source Patterns to Print a Given Shape" (Journal of Microlithography, Microfabrication, Microsystems 1(1), pp. 13~20, 200 2)인 Rosenbluth 외의 논문에서 찾아볼 수 있다. 소스는 여러 개의 구역으로 분할되며, 이들의 각각은 퓨필 스펙트럼의 소정 구역에 대응한다. 그러면, 소스 분포는 각 소스 구역에서 균일하다고 가정되며, 각 구역의 휘도는 공정 윈도우에 대해 최적화된다. 그러나 소스 분포가 각 소스 구역에서 균일하다는 이러한 가정이 항상 유효하지는 않으며, 그 결과 이 접근법의 유효성은 악화된다. 제목이 "Source Optimization for Image Fidelity and Throughput" (Journal of Microlithography, Microfabrication, Microsystems 3(4), pp. 509~522, 2004)인 Granik의 논문에 제시된 또 다른 예에서, 여러 기존의 소스 최적화 접근법이 개략적으로 설명되며, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)로 전환시키는, 일루미네이터 픽셀을 기반으로 하는 방법이 제안된다. 이 방법은 일부 성공을 증명하지만, 이는 전형적으로 수렴을 위해 다수의 복잡한 반복을 요구한다. 또한, Granik의 방법에서의 γ와 같은, 소스의 평활도(smoothness) 요건과 기판 이미지 충실도를 위하여 소스를 최적화하는 것 사이의 트레이드-오프(trade-off)에 영향을 주는 일부 여분의 매개변수에 대해 적절한/최적의 값을 결정하는 것이 어려울 수 있다.
저 k1 포토리소그래피에 대해, 소스와 패터닝 디바이스 둘 모두의 최적화는 임계 회로 패턴의 투영을 위한 실행 가능한 공정 윈도우를 보장하는 것을 돕는데 유용하다. 일부 알고리즘 (예를 들어, Socha 외, Proc. SPIE vol. 5853, 2005, p.180)은 공간 주파수 도메인에서 조명을 독립적인 소스 포인트들로, 그리고 패터닝 디바이스를 회절 차수들로 구분하고(discretize), 소스 포인트 세기 및 패터닝 디바이스 회절 차수로부터의 광학 이미징 모델에 의해 예측될 수 있는 노광 관용도(exposure latitude)와 같은 공정 윈도우 메트릭을 기반으로 개별적으로 (하나 이상의 선택된 디자인 변수의 함수로서 규정된) 비용 함수를 공식화한다.
본 명세서에서 사용되는 바와 같은 용어 "디자인 변수"는 리소그래피 투영 장치 또는 리소그래피 공정의 매개변수의 세트, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 매개변수, 또는 그 매개변수를 조정함으로써 사용자가 조정할 수 있는 이미지 특성을 포함한다. 조명, 패터닝 디바이스, 투영 광학계 및 /또는 레지스트의 하나 이상의 특성을 포함하는, 리소그래피 투영 공정의 임의의 하나 이상의 특성은 최적화에서의 디자인 변수에 의해 나타내어질 수 있다는 점이 인식되어야 한다. 비용 함수는 흔히 디자인 변수의 비선형 함수이다. 그후 표준 최적화 기술이 사용되어 비용 함수를 최적화한다.
이와 관련하여, 계속 작아지는 디자인 규칙의 압박은 반도체 칩 제조사를 기존의 193㎚ ArF 리소그래피를 이용하는 저-k1 리소그래피 시대로 더 깊히 진입시켰다. 더 낮은 k1을 향한 리소그래피는 RET, 노광 툴, 및 리소그래피-친화적인(litho-friendly) 디자인에 대한 수요를 급증시켰다. 앞으로는, 1.35 ArF 하이퍼 개구수(NA) 노광 툴이 사용될 수 있다. 실행 가능한 공정 윈도우로 회로 디자인이 기판 상에 생성될 수 있다는 것을 보장하는데 도움을 주기 위해, 조명-패터닝 디바이스 최적화 (본 명세서에서 소스-마스크 최적화 또는 SMO로 지칭됨)는 2×㎚ 노드에 대해 중요한 RET가 되어가고 있다
제약 없이 그리고 실행 가능한 시간 내에 비용 함수를 이용하여 조명 및 패터닝 디바이스의 동시 최적화를 허용하는 조명 및 패터닝 디바이스 (디자인 레이아웃) 최적화 방법 및 시스템이 미국 특허 출원 공개 US 2011-0230999 에 설명되어 있으며, 이는 원용에 의해 전체적으로 본 명세서에 포함된다. 소스의 픽셀을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 SMO 방법 및 시스템이 미국 특허 출원 공개 2010/0315614호에서 설명되어 있으며, 이는 원용에 의해 전체적으로 본 명세서에 포함된다.
리소그래피 투영 장치에서, 예로서, 비용 함수는 다음과 같이 표현될 수 있다:
Figure pct00003
(수학식 1)
여기서,
Figure pct00004
는 N 개의 디자인 변수 또는 그의 값이다.
Figure pct00005
Figure pct00006
의 디자인 변수의 값의 세트에 대한 평가 포인트에서의 특성의 실제 값과 의도된 값 간의 차이와 같은 디자인 변수
Figure pct00007
의 함수일 수 있다. wp
Figure pct00008
와 연관된 가중 상수이다. 다른 것보다 더 임계적인 평가 포인트 또는 패턴에 더 높은 wp 값이 할당될 수 있다. 더 큰 발생 횟수를 갖는 패턴 및/또는 평가 포인트에도 더 높은 wp 값이 할당될 수 있다. 평가 포인트의 예는 기판 상의 임의의 물리적 포인트 또는 패턴, 가상 디자인 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 임의의 포인트, 또는 그들의 조합일 수 있다.
Figure pct00009
은 또한 LWR, LER, 및/또는 LCDU와 같은 하나 이상의 확률적 변동의 함수일 수 있으며, 이들은 결과적으로 디자인 변수
Figure pct00010
의 함수이다.
Figure pct00011
는 확률적 변동의 양함수(explicit function), 예를 들어
Figure pct00012
일 수 있다.
Figure pct00013
는 LER과 같은 확률적 변동의 함수인 변수의 양함수일 수 있다. 예를 들어, bl_ILS가 수학식 30에 의해 나타낸 바와 같은 LER의 함수일 수 있으며,
Figure pct00014
이다.
Figure pct00015
는 LER과 같은 확률적 변동에 영향을 주는 변수일 수 있다.
따라서, 확률적 변동을 나타내는
Figure pct00016
를 포함하는 비용 함수를 이용한 최적화는 확률적 변동을 감소시키거나 최소화하는 하나 이상의 디자인 변수의 값으로 이어질 수 있다. 비용 함수는 리소그래피 투영 장치, 리소그래피 공정 또는 기판의 임의의 하나 이상의 적절한 특성, 예를 들어 초점, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 변동, 처리량, LCDU, 또는 그들을 조합을 나타낼 수 있다. LCDU는 국부적 CD 변동 (예를 들어, 국부적 CD 분포의 표준 편차의 3배)이다. 일 예에서, 비용 함수는 LCDU, 처리량 및 확률적 변동을 나타낸다 (즉, 이들의 함수이다). 일 예에서, 비용 함수는 EPE, 처리량 및 확률적 변동을 나타낸다 (예를 들어, 이들의 함수인
Figure pct00017
를 포함한다). 일 예에서, 비용 함수는 LER과 같은 확률적 변동의 함수인
Figure pct00018
및 EPE의 함수인
Figure pct00019
를 포함한다. 일 예에서, 디자인 변수
Figure pct00020
는 선량, 패터닝 디바이스의 전역적 바이어스, 조명의 형상, 또는 이들의 조합으로부터 선택되는 하나 이상을 포함한다. 흔히 기판 상의 패턴에 영향을 주는 것이 레지스트 이미지이기 때문에, 비용 함수는 레지스트 이미지의 하나 이상의 특성을 나타내는 함수를 포함할 수 있다. 예를 들어, 이러한 평가 포인트의
Figure pct00021
는 단순히 레지스트 이미지 내의 포인트와 그 포인트의 의도된 위치 간의 거리 (즉, 에지 배치 오차(
Figure pct00022
)일 수 있다. 디자인 변수는 소스, 패터닝 디바이스, 투영 광학계, 선량, 초점 등의 조정 가능한 매개변수와 같은 임의의 조정 가능한 매개변수를 포함할 수 있다
리소그래피 장치는 집합적으로, 파면의 형상 및 방사선 빔의 세기 분포 및/또는 위상 시프트를 조정하기 위해 사용될 수 있는 "파면 매니퓰레이터(wavefront manipulator)"로 불리는 구성 요소를 포함할 수 있다. 예에서, 리소그래피 장치는 패터닝 디바이스 전, 퓨필 평면 부근, 이미지 평면 부근, 및/또는 초점 평면 부근과 같은, 리소그래피 투영 장치의 광학 경로를 따르는 임의의 위치에서 파면 및 세기 분포를 조정할 수 있다. 파면 매니퓰레이터는, 예를 들어 소스, 패터닝 디바이스, 리소그래피 투영 장치 내의 온도 변동, 리소그래피 투영 장치의 구성 요소의 열팽창 등에 의해 야기된 파면 및 세기 분포 및/또는 위상 시프트의 특정 왜곡을 보정 또는 보상하기 위해 사용될 수 있다. 파면 및 세기 분포 및/또는 위상 시프트를 조정하는 것이 비용 함수 및 평가 포인트의 값을 변화시킬 수 있다. 이러한 변화는 모델로부터 시뮬레이션될 수 있거나 실제로 측정될 수 있다. 물론,
Figure pct00023
는 수학식 1의 형태에 제한되지 않는다.
Figure pct00024
는 임의의 다른 적절한 형태일 수 있다.
예에 따르면, EPE 및 LER 둘 모두를 나타내는 비용 함수는 다음과 같은 형태를 가질 수 있다:
Figure pct00025
이는 EPE 및 LER 모두 길이의 치수를 갖기 때문이다. 따라서, 이들은 직접 더해질 수 있다. LER이 EPE에 포함되는 비용 함수를 포함하는 대안적인 비용 함수가 이용될 수 있다.
수학식 30은 bl_ILS를 LER에 연결한다. 따라서 bl_ILS를 나타내는 비용 함수를 이용하는 최적화는 LER을 나타내는 비용 함수를 이용하는 최적화와 유사하다. 더 큰 bl_ILS가 더 작은 LER로 이어지며, 그 반대도 마찬가지다. 예에 따르면, 비용 함수는 EPE와 bl_ILS (또는 정규화된 ILS(NILS)) 모두를 나타낼 수 있다. 하지만, bl_ILS가 길이를 측정하지 않고 EPE는 측정하기 때문에, 또는 NILS는 크기가 없고(dimensionless) EPE는 길이의 치수를 갖기 때문에, EPE와 bl_ILS (또는 NILS)는 직접 더해질 수 없다. 따라서, 길이를 나타내는 함수에 의해 bl_ILS (또는 NILS)를 나타내는 것은 EPE에 그 표현을 직접적으로 추가하는 것을 가능하게 한다.
ILS는
Figure pct00026
로서 규정된다. bl_ILS는 공간적으로 블러링된 ILS이다. NILS는
Figure pct00027
로서 규정된다. 이 규정들은 ILS, bl_ILS 또는 NILS를 나타낼 수 있고 길이를 나타내며, 따라서 EPE에 직접 추가하는 것을 허용하는 함수를 제안한다. 도 9a 및 도 9b는 각각 에지에 수직인 방향(x)으로의 패턴의 에지에 걸친 이미지 (에어리얼 또는 레지스트)의 세기를 보여주고 있다. x에 대한 세기의 더 높은 기울기는 더 높은 ILS, bl_ILS 및 NILS를 의미한다. 따라서, 도 9a의 예는 도 9b의 예보다 더 높은 ILS, bl_ILS 및 NILS를 갖는다. 에지 위치(Xe)는 레지스트를 노광하기에 충분한 세기(I)로 시프트된다. 노광 기간이 정해진 경우에 레지스트를 노광하기에 충분한 세기(I)는 선량에 따라 변화한다. 따라서, 선량의 주어진 변화량 (예를 들어, 사용자가 선택한 매개변수일 수 있는 공칭 선량에 대한 ±δ)에 의해 야기되는 에지 위치(Xe)의 시프트의 양 (이후 "EPEILS", 예를 들어 2911 및 2912)은 ILS, bl_ILS 또는 NILS에 의해 결정된다. 따라서, 도 9a의 예가 도 9b의 예보다 높은 ILS, bl_ILS 및 NILS를 갖기 때문에, 도 9a의 예에서의 EPEILS는 도 9b의 예에서의 EPEILS보다 작다. 따라서, EPEILS는 ILS, bl_ILS 또는 NILS를 나타낼 수 있고 길이를 나타내어 비용 함수에서 EPE에 직접 더하는 것을 허용하는 함수의 예이다. EPEILS는 다음과 같이 쓰여질 수 있다:
Figure pct00028
여기서,
Figure pct00029
는 디자인 변수
Figure pct00030
의 함수이다. 예에 따라 EPE와 ILS, bl_ILS 또는 NILS 둘 모두를 나타내는 비용 함수가 다음의 형태를 가질 수 있다:
Figure pct00031
여기서,
Figure pct00032
은 공칭 선량에서의 EPE 값이며, p는 p-번째 평가 포인트이고, Sp는 EPEILS 항에 대한 가중치이다. 따라서, 예를 들어 이 비용 함수를 최소화함에 의한 최적화가
Figure pct00033
를 최대화하고, 이에 따라 LER을 최소화한다.
예에 따르면, EPEILS
Figure pct00034
의 가중치는 EPE 항이 증가할 때 EPE 항 (예를 들어,
Figure pct00035
)의 가중치에 대하여 감소될 수 있으며, 따라서 EPEILS
Figure pct00036
은 EPE 항
Figure pct00037
)보다 우세하지 않다. EPEILS 항이 우세한 경우, EPE 항은 최적화에 의해 충분히 감소되지 않을 것이다. 예를 들어,
Figure pct00038
가 사용자-선택 오프셋보다 큰 경우,
Figure pct00039
EPEp|>OF일 때
Figure pct00040
이며 (이에 의하여, 최적화는 EPEILS 항을 무시하고, EPE 항만을 감소시킨),
Figure pct00041
일 때
Figure pct00042
이며, 여기서 OF는 오프셋이다. 예를 들어,
Figure pct00043
이다. EPE 항의 더 높은 가중치는 비용 함수를 이용한 최적화에서 EPE 항의 감소를 선호하는 최적화를 만들 것이다
도 10은 EPEp의 함수로서 비용 함수의 곡선을 개략적으로 보여주고 있으며, 여기서 가중치
Figure pct00044
이다. 도 10이 보여주는 바와 같이, 가중치 wp 가 더 큰 값을 갖기 때문에
Figure pct00045
일 때 EPE 항은 비용 함수의 더 큰 비율을 차지한다.
디자인 변수는 제약을 가질 수 있으며, 이는
Figure pct00046
∈Z로서 표현될 수 있고, 여기서 Z는 디자인 변수의 가능한 값의 세트이다. 디자인 변수에 대한 하나의 가능한 제약은 리소그래피 투영 장치의 원하는 처리량에 의하여 부과될 수 있다. 원하는 처리량의 하한계(lower bound)는 선량에 대한 상한계로 이어지며, 따라서 확률적 변동에 대한 함축(implication)을 갖는다 (예를 들어, 확률적 변동에 하한계를 부과함). 더 짧은 노광 시간 및/또는 더 낮은 선량은 일반적으로 더 높은 처리량으로 이어지지만, 더 큰 확률적 변동을 초래한다. 확률적 변동의 최소화 및 기판 처리량의 고려가 디자인 변수의 가능한 값을 제한할 수 있으며, 이는 확률적 변동이 디자인 변수의 함수이기 때문이다. 원하는 처리량에 의해 부과되는 이러한 제약 없이, 최적화는 비현실적인 디자인 변수의 값의 세트를 산출할 수 있다. 예를 들어, 선량이 디자인 변수라면, 이러한 제약 없이, 최적화는 처리량을 경제적으로 불가능하게 만드는 선량 값을 산출할 수 있다. 하지만, 제약의 유용성은 필요성으로 해석되어서는 안 된다. 예를 들어, 처리량은 퓨필 충진 비율(pupil fill ratio)에 의해 영향을 받을 수 있다. 일부 조명 디자인들에 대해, 낮은 퓨필 충진 비율은 방사선을 폐기할 수 있으며, 더 낮은 처리량을 초래할 수 있다. 처리량은 또한 레지스트 화학적 성질에 의해 영향을 받을 수 있다. 더 느린 레지스트 (즉, 적절히 노광되기 위해 더 높은 양의 방사선을 필요로 하는 레지스트)는 더 낮은 처리량으로 이어진다.
따라서, 최적화 공정은 제약
Figure pct00047
∈Z 하에서 비용 함수를 최적화하는 하나 이상의 디자인 변수의 값들의 세트를 찾는 것이며, 예를 들어 다음을 찾는 것이다:
Figure pct00048
(수학식 2)
일례에 따른 최적화하는 일반적인 방법이 도 11에 도시되어 있다. 이 방법은 복수의 디자인 변수의 다중-가변 비용 함수를 규정하는 단계(302)를 포함한다. 디자인 변수는 조명의 하나 이상의 특성(300A) (예를 들어, 퓨필 충진 비율, 즉 퓨필 또는 어퍼처를 통과하는 조명의 방사선의 백분율), 투영 광학계의 하나 이상의 특성(300B), 및/또는 디자인 레이아웃의 하나 이상의 특성(300C)을 나타내는 디자인 변수들로부터 선택되는 임의의 적절한 조합을 포함할 수 있다. 예를 들어, 디자인 변수는 조명의 하나 이상의 특성(300A) 및 디자인 레이아웃의 하나 이상의 특성(300C)(예를 들어, 전역적 바이어스)을 나타내는 디자인 변수를 포함할 수 있지만, 투영 광학계의 하나 이상의 특성(300B)은 포함하지 않을 수 있으며, 이는 SMO으로 이어진다. 또는, 디자인 변수는 조명의 하나 이상의 특성(300A) (선택적으로, 편광), 투영 광학계의 하나 이상의 특성(300B), 및 디자인 레이아웃의 하나 이상의 특성(300C)을 나타내는 디자인 변수를 포함할 수 있으며, 이는 조명-패터닝 디바이스 (예를 들어, 마스크)-투영 시스템 (예를 들어, 렌즈) 최적화(SMLO)로 이어진다. 단계 304에서, 디자인 변수들은 비용 함수가 수렴을 향해 이동되도록 동시에 조정된다. 단계 306에서, 사전 규정된 종료 조건이 만족되는지 여부가 판단된다. 설정된 종료 조건은 다양한 가능성을 포함할 수 있으며, 예를 들어 사용되는 수치 기법(numerical technique)에 의하여 요구됨에 따라 비용 함수가 최소화 또는 최대화될 수 있는 것, 비용 함수의 값이 임계값과 동일하였거나 임계값을 초과한 것, 비용 함수의 값이 미리 정해진 오차 한계 내에 도달한 것, 및/또는 미리 정해진 반복 수에 도달하는 것으로부터 선택되는 하나 이상일 수 있다. 단계 306에서의 조건이 만족된다면, 본 방법은 종료된다. 단계 306에서의 하나 이상의 조건이 만족되지 않는다면, 원하는 결과가 얻어질 때까지 단계 304 및 단계 306이 반복적으로 되풀이된다. 최적화는 반드시 하나 이상의 디자인 변수에 대한 값의 단일 세트로 이어지지 않으며, 이는 퓨필 충진율, 레지스트 화학적 성질, 처리량 등과 같은 요인에 의해 야기되는 물리적 제한이 있을 수 있기 때문이다. 최적화는 하나 이상의 디자인 변수 및 연관된 성능 특성 (예를 들어, 처리량)에 대한 다수 세트의 값을 제공할 수 있으며 또한 리소그래피 장치의 사용자가 하나 이상의 세트를 고르는 것(pick)을 허용할 수 있다. 도 22는 (레지스트를 노광시키기 위해 요구되는 선량으로 표현될 수 있는) 레지스트 화학적 성질, 퓨필 충진 비율 ("퓨필 충진율(pupil fill factor)"이라고도 알려짐), 조명 효율 (예를 들어, 방사선을 패터닝 디바이스로 지향시키는 미러와 일루미네이터 내의 총 이용 가능한 미러의 비율) 및 마스크 바이어스에 대해 수평축에서의 처리량 (시간당 기판의 수의 단위)과 확률적 변동의 측정, 예를 들어 수직축에서의 최악의 코너 CDU와 LER의 평균의 여러 관계를 보여주고 있다. 트레이스 1811은 100% 퓨필 충진율과 빠른 레지스트를 갖는 이 관계를 보여주고 있다. 트레이스 1812는 100% 퓨필 충진율과 느린 레지스트를 갖는 이 관계를 보여주고 있다. 트레이스 1821은 60% 퓨필 충진율과 빠른 레지스트를 갖는 이 관계를 보여주고 있다. 트레이스 1822는 60% 퓨필 충진율과 느린 레지스트를 갖는 이 관계를 보여주고 있다. 트레이스 1831은 29% 퓨필 충진율과 빠른 레지스트를 갖는 이 관계를 보여주고 있다. 트레이스 1832는 29% 퓨필 충진율과 느린 레지스트를 갖는 이 관계를 보여주고 있다. 최적화는 사용자에게 이 가능성을 모두 제시할 수 있으며 따라서 사용자는 확률적 변동 및/또는 처리량의 특정한 요구 조건을 기반으로 퓨필 충진율, 레지스트 화학적 성질을 선택할 수 있다. 최적화는 처리량과 퓨필 충진율, 레지스트 화학적 성질 및 마스크 바이어스 간의 관계를 계산하는 것을 더 포함할 수 있다. 최적화는 확률적 변동의 측정과 퓨필 충진율, 레지스트 화학적 성질 및 마스크 바이어스 간의 관계를 계산하는 것을 더 포함할 수 있다.
예에 따르면, 그리고 도 23의 흐름도로 개략적으로 도시된 바와 같이, 하나 이상의 디자인 변수 (예를 들어, 전역적 바이어스 및 마스크 앵커 바이어스의 값들의 어레이, 매트릭스, 또는 리스트)의 값들의 세트의 각각 하에서 최적화가 수행될 수 있다 (단계 910). 예에서, 최적화의 비용 함수는 확률적 변동의 하나 이상의 측정값 (예를 들어, LCDU)의 함수이다. 그후, 단계 1920에서, 공정의 다양한 특성, 에어리얼 이미지 및/또는 레지스트 이미지 (예를 들어, 임계 치수 균일성(CDU), 초점 심도(DOF), 노출 관용도(EL), 마스크 오차 향상 인자 (mask error enhancement factor)(MEEF), LCDU, 처리량 등)은 하나 이상의 디자인 변수의 값의 각 세트에 대한 최적화의 사용자에게 (예를 들어, 3D 플롯으로) 제시될 수 있다. 선택적 단계 1930에서, 사용자는 자신의 하나 이상의 원하는 특성을 기반으로 하나 이상의 디자인 변수의 값들의 세트를 선택한다. 흐름은 XML 파일 또는 임의의 스크립트 언어를 통해 구현될 수 있다.
조명, 패터닝 디바이스 및 투영 광학계는 교대로 최적화될 수 있거나 (교대 최적화로 지칭됨), 또는 동시에 최적화될 수 있다 (동시 최적화로 지칭됨). 본 명세서에서 사용되는 바와 같은 용어 "동시", "동시에", "공동" 및 "공동으로"는 조명, 패터닝 디바이스, 투영 광학계의 하나 이상의 특성을 나타내는 하나 이상의 디자인 변수 및/또는 임의의 다른 디자인 변수가 동시에 변화되도록 허용된다는 것을 의미한다. 본 명세서에서 사용되는 바와 같은 용어 "교대" 및 "교대로"는 디자인 변수들 모두가 동일한 시간에 변화되도록 허용되지 않는다는 것을 의미한다.
도 11에서, 모든 디자인 변수의 최적화는 동시에 실행된다. 이러한 흐름은 동시 흐름 또는 공동-최적화 흐름(co-optimization flow)으로 불릴 수 있다. 대안적으로, 모든 디자인 변수의 최적화는 도 12에 도시된 바와 같이 교대로 실행된다. 이 흐름에서는, 각 단계에서, 일부 디자인 변수가 고정되는 한편, 다른 디자인 변수는 최적화되어 비용 함수를 최적화시킨다; 그 후, 다음 단계에서 변수들의 상이한 세트가 고정되는 한편, 다른 것 변수는 최적화되어 비용 함수를 최소화 또는 최대화시킨다. 이 단계들은 수렴 또는 소정 종료 조건이 충족될 때까지 교대로 실행된다. 비-제한적인 예시의 도 12의 흐름도에서 보여지는 바와 같이, 우선 디자인 레이아웃 (단계 402)이 획득되며, 그후 최적화의 단계가 단계 404에서 실행되며, 여기서 조명의 하나 이상의 디자인 변수가 최적화되어(SO) 비용 함수를 최소화 또는 최대화시키는 반면, 다른 디자인 변수는 고정된다. 그 후, 다음 단계 406에서 패터닝 디바이스 (예를 들어, 마스크) 최적화(MO)가 수행되며, 여기서 패터닝 디바이스의 디자인 변수가 최적화되어 비용 함수를 최소화 또는 최대화시키는 반면, 다른 디자인 변수는 고정된다. 이 2개의 단계는 단계 408에서 소정 종료 조건이 충족될 때까지 교대로 실행된다. 비용 함수의 값이 임계값과 동일하게 되는 것, 비용 함수의 값이 임계값을 넘는 것, 비용 함수의 값이 미리 정해진 오차 한계 내에 도달하는 것, 미리 정해진 반복 수에 도달하는 것 등과 같은 하나 이상의 다양한 종료 조건이 사용될 수 있다. 교대 흐름에 대한 예로서 SO-MO-교대-최적화가 사용된다는 점을 유의한다. 교대 흐름은 SO-LO-MO-교대-최적화와 같은 많은 상이한 형태를 취할 수 있으며, 여기서 SO, LO(투영 광학계 최적화), 및 MO가 교대로 그리고 반복적으로 실행되거나; 우선 SMO가 한 번 실행되고, 그후 LO 및 MO가 교대로 그리고 반복적으로 실행될 수 있으며; 기타 다른 방법이 있다. 또 다른 대안은 SO-PO-MO(조명 최적화, 편광 최적화 및 패터닝 디바이스 최적화)이다. 최종적으로, 최적화 결과의 출력이 단계 410에서 획득되고, 공정이 중단된다.
앞서 설명된 바와 같이, 패턴 선택 알고리즘은 동시 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적 화가 채택되는 경우, 먼저 풀-칩 SO가 수행될 수 있으며, 하나 이상의 "핫 스폿" 및/또는 "웜 스폿"이 식별되고, 그 후 MO가 수행된다. 본 발명의 관점에서, 원하는 최적화 결과를 이루기 위하여 서브-최적화들의 다수 순열 및 조합이 가능하다.
도 13a는 한 예시적인 최적화의 방법을 보여주고 있으며, 여기서 비용 함수가 최소화되거나 최대화된다. 단계 S502에서, 만약 있다면, 하나 이상의 연관된 조정 범위를 포함하는 하나 이상의 디자인 변수의 초기값이 획득된다. 단계 S504에서, 다중-변수 비용 함수가 설정된다. 단계 S506에서, 제1 반복 단계(i=0)에 대해 하나 이상의 디자인 변수의 시작 포인트 값 주위의 충분히 작은 일대(small enough neighborhood) 내에서 비용 함수가 확장된다. 단계 S508에서, 표준 다중-변수 최적화 기술이 비용 함수에 적용된다. 최적화 문제는 S508에서의 최적화 공정 동안 또는 최적화 공정의 추후 단계에서 하나 이상의 조정 범위와 같은 제약을 적용할 수 있다는 점을 유의한다. 단계 S520은 리소그래피 공정을 최적화하기 위해 선택되었던 식별된 평가 포인트에 대하여 하나 이상의 주어진 테스트 패턴 ("게이지"로도 알려짐)에 대해 각 반복이 이루어진다는 것을 나타낸다. 단계 S510에서, 리소그래피 응답이 예측된다. 단계 S512에서, 단계 S510의 결과는 단계 S522에서 얻어지는 원하는 또는 이상적인 리소그래피 반응 값과 비교된다. 단계 S514에서 종료 조건이 만족되면, 즉 최적화가 원하는 값에 충분히 근접한 리소그래피 반응 값을 생성하면, 단계 S518에서 디자인 변수들의 최종 값이 출력된다. 출력 단계는 또한 퓨필 평면 (또는 다른 평면)에서의 파면 수차-조정된 맵, 최적화된 조명 맵, 및/또는 최적화된 디자인 레이아웃 등을 출력하는 것과 같은, 디자인 변수의 최종 값들을 이용하여 하나 이상의 다른 함수를 출력하는 것을 포함할 수 있다. 종료 조건이 만족되지 않는다면, 단계 S516에서 하나 이상의 디자인 변수의 값은 i-번째 반복의 결과로 업데이트되며, 공정은 단계 S506으로 되돌아간다. 도 13a의 공정은 아래에서 상세히 설명된다.
예시적인 최적화 공정에서,
Figure pct00049
가 충분히 평활 (예를 들어, 1차 도함수
Figure pct00050
가 존재함)하다는 것을 제외하고는, 디자인 변수
Figure pct00051
Figure pct00052
간의 관계가 가정되거나 근사화되지 않으며, 이는 일반적으로 리소그래피 투영 장치에서 유효하다.
Figure pct00053
를 찾기 위해, 가우스-뉴턴(Gauss-Newton) 알고리즘, 레벤버그-마쿼트(Levenberg-Marquardt) 알고리즘, 브로이덴-플레처-골드파브-샤노(BroydenFletcher-Goldfarb-Shanno) 알고리즘, 기울기 하강(gradient descent) 알고리즘, 모의 어닐링(simulated annealing) 알고리즘, 내점 알고리즘 및 유전적 알고리즘과 같은 알고리즘이 적용될 수 있다.
여기서, 예로서 가우스-뉴턴 알고리즘이 사용된다. 가우스-뉴턴 알고리즘은 일반적인 비선형 다변수 최적화 문제에 적용 가능한 반복적 방법이다. 디자인 변수
Figure pct00054
Figure pct00055
의 값을 취하는 i-번째 반복에서, 가우스-뉴턴 알고리즘은
Figure pct00056
의 부근에서
Figure pct00057
를 선형화하고, 그후
Figure pct00058
의 최소값을 제공하는
Figure pct00059
의 부근에서의 값
Figure pct00060
을 계산한다. 디자인 변수
Figure pct00061
는 (i+1)-번째 반복에서
Figure pct00062
의 값을 취한다. 이 반복은 수렴 (즉,
Figure pct00063
는 더 이상 감소하지 않음) 또는 미리 정해진 수의 반복에 도달할 때까지 계속된다.
구체적으로, i-번째 반복에서,
Figure pct00064
부근에서,
Figure pct00065
(수학식 3)
수학식 3의 근사치 하에서, 비용 함수는 다음과 같이 된다:
Figure pct00066
(수학식 4)
이는 디자인 변수
Figure pct00067
의 이차 함수이다. 디자인 변수
Figure pct00068
를 제외한 모든 항은 상수이다.
디자인 변수
Figure pct00069
가 어떠한 제약 하에 있지 않은 경우,
Figure pct00070
는 N 개의 선형 방정식을 풀어서 도출될 수 있다
Figure pct00071
여기서, n = 1, 2, ... , N이다.
디자인 변수
Figure pct00072
가 제약 하에서 J 개의 부등식 (예를 들어,
Figure pct00073
조정 범위)
Figure pct00074
(j=1,2,..., J); 및 K 개의 등식 (예를 들어, 디자인 변수들 간의 상호 의존성)
Figure pct00075
(k= 1,2 ..., K)의 형태인 경우, 최적화 공정은 전형적인 이차 프로그래밍 문제가 되며, 이때
Figure pct00076
,
Figure pct00077
,
Figure pct00078
,
Figure pct00079
는 상수이다. 각 반복에 대하여 부가적인 제약이 부과될 수 있다. 예를 들어,
Figure pct00080
Figure pct00081
간의 차이를 제한하기 위해 "감쇠 지수(damping factor)"(
Figure pct00082
)가 도입될 수 있으며, 따라서 수학식 3의 근사가 유지된다. 이러한 제약은
Figure pct00083
로 표현될 수 있다.
Figure pct00084
는, 예를 들어 Jorge Nocedal 및 Stephen J. Wright의 Numerical Optimization (제2 판)(Berlin New York: Vandenberghe. Cambridge University Press)에서 설명된 방법을 이용하여 도출될 수 있다.
Figure pct00085
의 RMS를 최소화하는 대신에, 최적화 공정은 평가 포인트들 사이에서 그들의 의도된 값들에 대해 가장 큰 편차(최악의 결함)의 크기를 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
Figure pct00086
(수학식 5)
여기서, CLp
Figure pct00087
에 대한 최대 허용 값이다. 이 비용 함수는 평가 포인트들 중 최악의 결함을 나타낸다. 이 비용 함수를 이용하는 최적화는 최악의 결함의 크기를 최소화한다. 이 최적화를 위해 반복적인 그리디 알고리즘(greedy algorithm)이 사용될 수 있다.
수학식 5의 비용함수는 다음과 같이 근사화될 수 있다:
Figure pct00088
(수학식 6)
여기서, q는 적어도 4, 또는 적어도 10과 같은 양의 짝수 정수(even positive integer)이다. 수학식 6은 수학식 5의 거동(behavior)을 모방하지만, 최적화가 분석적으로 실행되게 하고, 극심 강하 방법(deepest descent method), 공액 구배 방법(conjugate gradient method) 등과 같은 방법을 이용함으로써 가속되게 한다.
또한, 최악의 결함 크기를 최소화하는 것은
Figure pct00089
의 선형화와 조합될 수 있다. 구체적으로,
Figure pct00090
는 수학식 3에서와 같이 근사화된다. 이후 최악의 결함 크기에 대한 제약은 부등식
Figure pct00091
로서 쓰여지며, 여기서 ELp 및 EUp
Figure pct00092
에 대한 최소 및 최대 허용 편차를 특정하는 2개의 상수이다. 수학식 3을 연결하면, 이 제약은 p=1,..., P에 대하여 다음으로 변환된다:
Figure pct00093
(수학식 6')
Figure pct00094
(수학식 6")
수학식 3이 일반적으로
Figure pct00095
의 부근에서만 유효하기 때문에, 원하는 제약(
Figure pct00096
)이 이러한 부근에서 달성될 수 없는 경우 -이는 부등식들 간의 임의의 충돌(conflict)에 의해 결정될 수 있음-, 상수(ELp 및 EUp)는 제약이 달성 가능할 때까지 완화될 수 있다. 이 최적화 공정은
Figure pct00097
,i의 부근에서의 최악의 결함 크기를 최소화한다. 이후, 각 단계가 최악의 결함 크기를 점차적으로 감소시키며, 소정 종료 조건이 충족될 때까지 각 단계는 반복적으로 실행된다. 이는 최악의 결함 크기의 최적의 감소로 이어질 것이다.
최악의 결함을 최소화하는 또 다른 방식은 각 반복에서 가중치(wp)를 조정하는 것이다. 예를 들어, i번째 반복 후, r-번째 평가 포인트가 최악의 결함인 경우, 그 평가 포인트의 결함 크기의 감소에 더 높은 우선 순위가 주어지도록 wr이 (i+1) 번째 반복에서 증가될 수 있다.
또한, 수학식 4 및 수학식 5의 비용 함수는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 절충을 달성하기 위해 라그랑주 승수(Lagrange multiplier)를 도입함으로써 수정될 수 있으며, 즉 다음과 같다.
Figure pct00098
(수학식 6"')
여기서, λ는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 트레이드-오프(trade off)를 특정하는 미리 정해진 상수이다. 특히, λ=0이면, 이는 수학식 4가 되고, 결함 크기의 RMS만이 최소화되는 한편; λ=1이면, 이는 수학식 5가 되고, 최악의 결함 크기만이 최소화되고; 0<λ<1이면, 둘 모두는 최적화에서 고려된다. 이러한 최적화는 다수의 방법을 이용하여 해결될 수 있다. 예를 들어, 이전에 설명된 것과 유사하게 각 반복에서의 가중이 조정될 수 있다. 대안적으로, 부등식으로부터 최악의 결함 크기를 최소화하는 것과 유사하게, 수학식 6' 및 6"의 부등식은 이차 프로그래밍 문제의 해결 동안 디자인 변수들의 제약으로 볼 수 있다. 그 후, 최악의 결함 크기에 대한 경계는 점진적으로 완화될 수 있거나, 최악의 결함 크기에 대한 가중치를 점진적으로 증가시킬 수 있고, 모든 달성 가능한 최악의 결함 크기에 대한 비용 함수 값을 연산할 수 있으며, 다음 단계를 위한 초기 포인트로서 총 비용 함수를 최소화하는 디자인 변수 값을 선택할 수 있다. 이를 반복적으로 수행함으로써, 이 새로운 비용 함수의 최소화가 달성될 수 있다
리소그래피 투영 장치를 최적화하는 것은 공정 윈도우를 확장할 수 있다. 더 큰 공정 윈도우는 공정 디자인 및 칩 디자인에 더 많은 유연성을 제공한다. 공정 윈도우는 초점 및 선량 값의 세트로서 규정될 수 있으며, 이에 대해 레지스트 이미지는 레지스트 이미지의 디자인 목표의 소정 한계 내에 있다. 본 명세서에 설명된 모든 방법은, 노광 선량 및 비초점(defocus)에 더하여 상이한 또는 부가적인 기본적인 매개변수에 의해 확립될 수 있는 일반화된 공정 윈도우 규정으로 연장될 수도 있다는 점을 주목한다. 이는 광학 설정, 예를 들어 NA, 시그마, 수차, 편광, 또는 레지스트 층의 광학 상수를 포함할 수 있으나, 이에 제한되지는 않는다. 예를 들어, 앞서 설명된 바와 같이, 공정 윈도우(PW)가 또한 상이한 마스크 바이어스를 포함한다면, 그러면 최적화는 MEEF의 최소화를 포함하며, 이는 기판 EPE와 유도된 마스크 에지 바이어스 간의 비율로서 규정된다. 초점 및 선량 값에 대해 규정된 공정 윈도우는 단지 이 발명에서 예의 역할을 한다. 예에 따라 공정 윈도우를 최대화하는 방법이 아래에 설명된다.
제 1 단계에서, 공정 윈도우의 알려진 조건(
Figure pct00099
)으로부터 시작하며, 여기서 f0는 공칭 초점이고, ε0는 공칭 선량이며, 부근
Figure pct00100
에서 아래의 비용 함수들 중 하나를 최소화한다:
Figure pct00101
Figure pct00102
(수학식 7)
또는
Figure pct00103
(수학식 7')
또는
Figure pct00104
(수학식 7")
공칭 초점(f0) 및 공칭 선량(ε0)이 시프트하도록 허용되는 경우, 이들은 디자인 변수
Figure pct00105
와 공동으로 최적화될 수 있다. 다음 단계에서, 비용 함수가 사전 설정된 한계 내에 있도록
Figure pct00106
,f, ε)의 값의 세트가 찾아질 수 있는 경우,
Figure pct00107
는 공정 윈도우의 일부로서 받아들여진다.
초점 및 선량이 시프트되는 것이 허용되지 않는 경우, 디자인 변수
Figure pct00108
는 공칭 초점(f 0 ) 및 공칭 선량(ε0)에서 고정된 초점 및 선량으로 최적화된다. 대안적인 예에서, 비용 함수가 미리 정해진 한계 내에 있도록
Figure pct00109
의 값의 세트가 발견될 수 있다면, (
Figure pct00110
)는 공정 윈도우의 일부로서 받아들여진다.
본 명세서에서 이전에 설명된 방법은 수학식 7, 7' 또는 7"의 각각의 비용 함수를 최소화하기 위해 사용될 수 있다. 디자인 변수가 제르니케 계수와 같은, 투영 광학계의 하나 이상의 특성을 나타내는 경우, 수학식 7, 7' 또는 7"의 비용 함수들을 최소화하는 것은 투영 광학계 최적화, 즉 LO를 기반으로 하는 공정 윈도우 최대화로 이어진다. 디자인 변수가 투영 광학계의 특성에 추가하여 조명 및 패터닝 디바이스의 하나 이상의 특성을 나타내는 경우, 수학식 7, 7' 또는 7"의 비용 함수를 최소화하는 것은 도 11에 도시된 바와 같은 SMLO를 기반으로 하는 공정 윈도우 최대화로 이어진다. 디자인 변수가 소스 및 패터닝 디바이스의 하나 이상의 특성을 나타내는 경우, 수학식 7, 7' 또는 7"의 비용 함수들을 최소화하는 것은 SMO를 기반으로 하는 공정 윈도우 최대화로 이어진다. 수학식 7, 7' 또는 7"의 비용 함수는 본 명세서에 설명된 바와 같은 적어도 하나의
Figure pct00111
를 포함할 수 있으며, 이는 LWR, 2D 피처의 국부적 CD 변동, 및/또는 처리량과 같은 하나 이상의 확률적 변동의 함수이다.
도 14는 동시 SMLO 공정이 최적화를 위해 가우스 뉴턴 알고리즘을 어떻게 사용하는지의 구체적인 예를 보여주고 있다. 단계 S702에서, 하나 이상의 디자인 변수의 시작 값이 식별된다. 각 변수에 대한 조정 범위 또한 식별될 수 있다. 단계 S704에서, 비용 함수는 하나 이상의 디자인 변수를 사용하여 규정된다. 단계 S706에서, 비용 함수는 디자인 레이아웃의 모든 평가 포인트에 대한 시작 값 주위로 확장된다. 선택적 단계 S710에서, 풀-칩(full-chip) 시뮬레이션이 실행되어 풀-칩 디자인 레이아웃의 모든 임계 패턴을 커버한다. 원하는 리소그래피 응답 메트릭 (예를 들어, CD 또는 EPE)은 단계 S714에서 획득되며, 단계 S712에서 이 양의 예측 값과 비교된다. 단계 S716에서, 공정 윈도우가 결정된다. 단계 S718, S720, 및 S722는 도 13a와 관련하여 설명된 바와 같이 대응 단계 S514, S516 및 S518과 유사하다. 전에 언급된 바와 같이, 최종 출력은, 예를 들어 원하는 이미징 성능을 생성하기에 최적화된, 퓨필 평면의 파면 수차 맵일 수 있다. 최종 출력은, 예를 들어 최적화된 조명 맵 및/또는 최적화된 디자인 레이아웃일 수 있다.
도 13b는 디자인 변수
Figure pct00112
가 단지 이산 값(discrete value)을 가정할 수 있는 디자인 변수를 포함하는 비용 함수를 최적화하기 위한 예시적인 방법을 보여주고 있다.
본 방법은 조명의 픽셀 그룹(pixel group) 및 패터닝 디바이스의 패터닝 디바이스 타일(tile)을 규정함으로써 시작한다 (단계 802). 일반적으로, 픽셀 그룹 또는 패터닝 디바이스 타일은 또한 리소그래피 공정 구성 요소의 구획(division)으로 지칭될 수 있다. 한 예시적인 접근에서, 조명은 117개의 픽셀 그룹으로 나누어지며, 패터닝 디바이스에 대해 94개의 패터닝 디바이스 타일이 규정되어, 실질적으로 위에서 설명된 바와 같이, 그 결과 총 211 개의 구획(division)이 된다.
단계 804에서, 리소그래피 시뮬레이션을 위한 기반으로서 리소그래피 모델이 선택된다. 리소그래피 시뮬레이션은 하나 이상의 리소그래피 메트릭의 계산 또는 응답에 사용되는 결과를 생성한다. 특정 리소그래피 메트릭이 최적화될 성능 메트릭인 것으로 규정된다 (단계 806). 단계 808에서, 조명 및 패터닝 디바이스에 대한 초기 (최적화 전(pre-optimization)) 조건이 설정된다. 초기 조건은 초기 조명 형상 및 초기 패터닝 디바이스 패턴에 대한 참조가 이루어질 수 있도록 조명의 픽셀 그룹 및 패터닝 디바이스의 패터닝 디바이스 타일에 대한 초기 상태들을 포함한다. 초기 조건은 또한 마스크 바이어스, NA, 및/또는 초점 램프(focus ramp) 범위를 포함할 수 있다. 단계 802, 804, 806 및 808이 순차적인 단계들로서 도시되지만, 다른 예에서 이 단계들은 다른 순서들로 수행될 수 있다는 것이 인식될 것이다.
단계 810에서, 픽셀 그룹 및 패터닝 디바이스 타일(tiles)이 순위화된다(ranked). 픽셀 그룹들 및 패터닝 디바이스 타일들은 순위화에 있어서 인터리브(interleaved)될 수 있다. 순위화하는 다양한 방식이 채택될 수 있으며, 이는 (예를 들어, 픽셀 그룹 1부터 픽셀 그룹 117까지, 그리고 패터닝 디바이스 타일 1부터 패터닝 디바이스 타일 94까지) 순차적으로, 픽셀 그룹 및 패터닝 디바이스 타일의 물리적 위치들에 따라 (예를 들어, 조명의 중심에 더 가까운 픽셀 그룹을 순위를 더 높게 매김), 및/또는 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 성능 메트릭에 어떻게 영향을 주는지에 따라 무작위로 순위화하는 것을 포함한다
픽셀 그룹들과 패터닝 디바이스 타일들이 순위화되면, 조명 및 패터닝 디바이스는 성능 메트릭을 개선하도록 조정된다 (단계 812). 단계 812에서, 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 개선된 성능 메트릭을 가져올지를 결정하기 위해, 순위 상의 순서대로(in order of ranking), 픽셀 그룹 및 패터닝 디바이스 타일의 각각은 분석된다. 성능 메트릭이 개선될 것으로 결정된다면, 픽셀 그룹 또는 패터닝 디바이스 타일은 그후 이에 따라 변경되며, 결과적인 개선된 성능 메트릭 및 수정된 조명 형상 또는 수정된 패터닝 디바이스 패턴은 하위-순위화된 픽셀 그룹 및 패터닝 디바이스 타일의 후속 분석에 대한 비교를 위하여 기준선(baseline)을 형성한다. 다시 말하면, 성능 메트릭을 개선하는 변경이 유지된다. 픽셀 그룹 및 패터닝 디바이스 타일의 상태에 대한 변경이 이루어지고 유지됨에 따라, 초기 조명 형상 및 초기 패터닝 디바이스 패턴은 이에 따라 변화하며, 따라서 수정된 조명 형상 및 수정된 패터닝 디바이스 패턴은 단계 812의 최적화 공정으로부터 기인한다.
다른 접근법에서는, 패터닝 디바이스 다각형 형상 조정 및 픽셀 그룹 및/또는 패터닝 디바이스 타일의 쌍별 폴링(pairwise polling)이 또한 단계 812의 최적화 공정 내에서 수행된다.
예에서, 인터리브된 동시 최적화 과정은 조명의 픽셀 그룹을 변경하는 것을 포함할 수 있으며, 성능 메트릭의 개선이 발견되는 경우, 추가적인 개선을 찾기 위해 선량 또는 세기가 증가 및/또는 감소된다. 추가 예에서, 선량 또는 세기의 증가 및/또는 감소는 패터닝 디바이스 패턴의 바이어스 변화로 대체되어 동시 최적화 절차에서의 추가 개선을 찾을 수 있다.
단계 814에서, 성능 메트릭이 수렴하였는지의 여부에 관하여 결정이 이루어진다. 성능 메트릭은, 예를 들어 단계 810 및 812의 마지막 몇 번의 반복에서 성능 메트릭에 대한 개선이 거의 또는 전혀 목격되지 않은 경우에 수렴한 것으로 고려될 수 있다. 성능 메트릭이 수렴하지 않았다면, 단계 810 및 812는 다음 반복에서 반복되며, 여기에서 현재 반복으로부터의 수정된 조명 형상 및 수정된 패터닝 디바이스는 다음 반복을 위한 초기 조명 형상 및 초기 패터닝 디바이스로서 사용된다 (단계 816).
위에서 설명된 최적화 방법은 리소그래피 투영 장치의 처리량을 증가시키기 위해 사용될 수 있다. 예를 들어, 비용 함수는 노광 시간의 함수인
Figure pct00113
를 포함할 수 있다. 예에서, 이러한 비용 함수의 최적화는 확률적 변동의 측정 또는 다른 메트릭에 의해 제약을 받거나 영향을 받는다. 구체적으로, 리소그래피 공정의 처리량을 증가시키기 위한 컴퓨터-구현된 방법은 노광 시간을 줄이거나 최소화하기 위하여, 리소그래피 공정의 하나 이상의 확률적 변동의 함수인 그리고 기판의 노광 시간의 함수인 비용 함수를 최적화하는 것을 포함할 수 있다.
일 예에서, 비용 함수는 하나 이상의 확률적 변동의 함수인 적어도 하나의
Figure pct00114
를 포함한다. 하나 이상의 확률적 변동은 2D 피처의 LWR 및/또는 국부적 CD 변동을 포함할 수 있다. 일 예에서, 하나 이상의 확률적 변동은 에어리얼 이미지 또는 레지스트 이미지의 하나 이상의 특성의 하나 이상의 확률적 변동을 포함한다. 예를 들어, 이러한 확률적 변동은 라인 에지 거칠기(line edge roughness)(LER), 라인 폭 거칠기(line width roughness)(LWR) 및/또는 국부적 임계 치수 균일성(LCDU)을 포함할 수 있다. 비용 함수에 하나 이상의 확률적 변동을 포함시키는 것은 하나 이상의 확률적 변동을 최소화시키는 하나 이상의 디자인 변수의 값을 찾는 것을 허용하며, 그에 의하여 확률적 변동으로 인한 결함의 위험을 감소시킨다.
도 15a는 예에 따른, 특성의 확률적 변동 (예를 들어, LER) 또는 확률적 변동의 함수이거나 이에 영향을 미치는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)를 기반으로 에어리얼 이미지 또는 레지스트 이미지의 핫 스폿을 식별하는 방법에 대한 흐름도를 보여주고 있다. 선택적인 단계 2510에서, 에어리얼 이미지 또는 레지스트 이미지의 특성 (예를 들어, 에지 위치)에 대한 확률적 변동 (예를 들어, LER)의 함수이거나 이에 영향을 미치는 변수 (예를 들어, bl_ILS, ILS, 또는 NILS)의 값이 획득된다. 단계 2520에서, 특성의 확률적 변동(예를 들어, LER)의 값이 (예를 들어, 변수의 값으로부터) 획득된다. 단계 2530에서, 특성의 범위가 획득된다. 범위는 임의의 적절한 제한에 기인될 수 있다. 예를 들어, 확률적 변동이 LER인 경우, 범위는 디자인 레이아웃의 패턴의 기하학적 구조에 의해 좌우될 수 있다. 예를 들어, LER의 최대값은 에지로부터 그의 이웃하는 에지까지의 갭의 폭을 초과하지 않을 수 있다. 단계 2540에서, 확률적 변동의 값은 범위와 비교된다. 확률적 변동이 범위를 초과하는 경우, 특성은 단계 2550에서 핫 스폿으로서 식별된다. 핫 스폿으로서 식별된 그 특성에 대해, 확률적 변동을 감소시키기 위한 최적화와 같은 추가 처리가 수행될 수 있다.
도 15b는 예에 따른, 에어리얼 이미지 또는 레지스트 이미지의 특성 (예를 들어, 에지 위치)의 확률적 변동 (예를 들어, LER) 또는 확률적 변동의 함수이거나 이에 영향을 미치는 변수 (예를 들어, bl_ILS, ILS, 또는 NILS)를 기반으로 에어리얼 이미지 또는 레지스트 이미지의 핫 스폿을 식별하는 방법에 대한 흐름도를 보여주고 있다. 단계 2610에서, 특성의 범위가 획득된다. 단계 2620에서, 확률적 변동 (예를 들어, LER)의 범위 또는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)의 범위가 특성의 범위를 기반으로 획득된다. 단계 2630에서, 확률적 변동의 값 또는 변수의 값이 획득된다. 단계 2640에서, 확률적 변동의 값 또는 변수의 값은 그의 각각의 범위와 비교된다. 확률적 변동의 값 또는 변수의 값이 그의 각각의 범위를 초과한다면, 특성은 단계 2650에서 핫 스폿으로서 식별된다. 핫 스폿으로서 식별된 그 특성에 대해, 확률적 변동을 감소시키는 최적화와 같은 추가 처리가 수행될 수 있다.
도 16은 예시에 따른, 에어리얼 이미지 또는 레지스트 이미지의 하나 이상의 특성 (예를 들어, 에지 위치)의 확률적 변동(예를 들어, LER)을 감소시키는 방법에 대한 흐름도를 보여주고 있다. 단계 2710에서, 예를 들어 도 15a 또는 도 15b의 방법을 이용하여, 하나 이상의 특성을 디자인 레이아웃의 일부분으로부터 핫 스폿으로서 식별함으로써 하나 이상의 특성을 얻는다. 단계 2720에서, 예를 들어, 적어도 확률적 변동 또는 확률적 변동의 함수이거나 이에 영향을 미치는 변수 (예를 들어, bl_ILS, ILS, 또는 NILS)를 나타내는 비용 함수를 이용함으로써 하나 이상의 특성의 확률적 변동을 감소시킨다. 단계 2730에서, 디자인 레이아웃의 부분으로부터 핫 스폿을 재식별한다. 단계 2740에서, 핫 스폿이 식별되는지를 결정한다. 핫 스폿이 식별된다면, 단계 2750으로 진행한다; 어느 것도 식별되지 않는다면, 본 방법은 종료된다. 단계 2750에서, 최적화의 하나 이상의 매개변수 (예를 들어, δ 및/또는 사용자-선택 오프셋)를 변화시키고, 본 방법은 단계 2720으로 반복하며 변경된 하나 이상의 매개변수로 최적화를 수행한다. 대안적인 예에서, 하나 이상의 매개변수는 디자인 레이아웃의 일부일 수 있으며, 단계 2740과 단계 2750은 제거될 수 있다.
도 17은 본 명세서에 개시된 최적화 방법 및 흐름을 구현하는 것을 도울 수 있는 컴퓨터 시스템(100)을 도시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 전달하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위하여 버스(102)와 결합된 프로세서(104) (또는 다수의 프로세서(104, 105))를 포함한다. 컴퓨터 시스템(100)은 또한 프로세서(104)에 의해 실행될 정보 및 명령어를 저장하기 위하여 버스(102)에 연결된, 랜덤 액세스 메모리(RAM) 또는 다른 동적 저장 장치와 같은 메인 메모리(106)를 포함한다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령어의 실행 동안 임시 변수 또는 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어를 저장하기 위하여 버스(102)에 연결된 판독 전용 메모리(ROM)(108) 또는 다른 정적 저장 디바이스를 더 포함한다. 정보 및 명령어를 저장하기 위하여, 자기 디스크 또는 광 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 연결되어 있다.
컴퓨터 사용자에게 정보를 표시하기 위하여 컴퓨터 시스템(100)은 버스(102)를 통해, 음극선관(CRT) 또는 플랫 패널 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 연결될 수 있다. 정보 및 명령어 선택을 프로세서(104)에 전달하기 위하여 영숫자 및 기타 키를 포함하는 입력 디바이스(114)가 버스(102)에 연결되어 있다. 또 다른 유형의 사용자 입력 디바이스는 방향 정보 및 명령어 선택을 프로세서(104)에 전달하기 위한 그리고 디스플레이(112) 상에서의 커서 이동을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 제어부(116)이다. 이 입력 디바이스는 전형적으로 2개 축, 제1 축(예를 들어, x)과 제2 축(예를 들어, y)에 2개의 자유도를 가지고 있으며, 이는 디바이스가 평면에서의 위치를 특정하는 것을 허용한다. 터치 패널(스크린) 디스플레이 또한 입력 디바이스로 사용될 수 있다.
일 예에 따르면, 최적화 공정의 부분들은 메인 메모리(106)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수 있다. 이러한 명령어는 저장 디바이스(110)와 같은 또 다른 컴퓨터 판독-가능 매체로부터 메인 메모리(106)로 판독될 수 있다. 메인 메모리(106)에 포함된 명령어의 시퀀스의 실행은 프로세서(104)가 본 명세서에 설명된 공정 단계를 수행하게 한다. 다중 처리 배열체 내의 하나 이상의 프로세서는 또한 메인 메모리(106)에 포함된 명령어의 시퀀스를 실행하기 위해 이용될 수 있다. 대안적인 실시예에서, 하드-와이어드(hard-wired) 회로가 소프트웨어 명령어 대신에 또는 그와 조합하여 사용될 수 있다. 따라서, 본 명세서 내의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
본 명세서에서 사용되는 바와 같은 용어 "컴퓨터 판독-가능한 매체"는 실행을 위하여 프로세서(104)에 명령어를 제공하는 것에 참여하는 임의의 매체를 지칭한다. 이러한 매체는, 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하는, 그러나 이에 제한되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 동축 케이블, 구리 와이어 및 광섬유를 포함하며, 버스(102)를 구성하는 와이어를 포함한다. 전송 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것과 같은 음향파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독-가능한 매체의 일반적인 형태는, 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍의 패턴을 갖는 임의의 다른 물리적 매체, 램(RAM), 피롬(PROM) 및 이피롬(EPROM), 플래시-이피롬(FLASH-EPROM), 임의의 다른 메모리 칩 또는 카트리지, 이하 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독 가능한 매체가 실행을 위하여 하나 이상의 명령어의 하나 이상의 시퀀스를 프로세서(104)로 운반하는데 관여할 수 있다. 예를 들어, 명령어는 초기에 원격 컴퓨터의 자기 디스크에 저장(borne)될 수 있다. 원격 컴퓨터는 명령어를 그의 동적 메모리 내로 로딩할 수 있으며, 모뎀을 사용하여 전화선을 통해 명령어를 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀은 전화선으로 데이터를 수신할 수 있으며 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환시킬 수 있다. 버스(102)에 연결된 적외선 검출기는 적외선 신호로 운반된 데이터를 수신할 수 있으며 데이터를 버스(102)에 배치할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 운반하며, 프로세서(104)는 메인 메모리로부터 명령어를 검색하고 실행한다. 메인 메모리(106)에 의해 수신된 명령어는 프로세서(104)에 의한 실행 전 또는 실행 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다
컴퓨터 시스템(100)은 또한 버스(102)에 연결되어 있는 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되어 있는 네트워크 링크(120)에 대한 양방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 유형의 전화선에 대한 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 또 다른 예로서, 통신 인터페이스(118)는 호환 가능한 LAN에 대한 데이터 통신 연결을 제공하기 위한 근거리 통신 네트워크(LAN) 카드일 수 있다. 무선 링크 또한 구현될 수 있다. 임의의 이러한 구현 형태에서, 통신 인터페이스(118)는 다양한 유형의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기, 전자기 또는 광학 신호를 송신하고 수신한다.
네트워크 링크(120)는 전형적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로의 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124)에 대한 또는 인터넷 서비스 제공자(ISP)(126)에 의해 운영되는 데이터 장비에 대한 연결을 제공할 수 있다. ISP(126)는 결과적으로 현재 흔히 "인터넷"(128)으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122)와 인터넷(128) 모두는 디지털 데이터 스트림을 운반하는 전기, 전자기 또는 광학 신호를 사용한다. 컴퓨터 시스템(100)으로 그리고 컴퓨터 시스템으로부터 디지털 데이터를 운반하는, 다양한 네트워크를 통한 신호 및 네트워크 링크(120) 상의 그리고 통신 인터페이스(118)를 통한 신호는 정보를 전달하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통하여 메시지를 보낼 수 있으며 또한 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통하여 응용 프로그램에 대한 요청된 코드를 전송할 수 있다. 예를 들어, 하나의 이러한 다운로드된 애플리케이션은 예의 조명 최적화를 제공할 수 있다. 수신될 때 수신된 코드는 프로세서(104)에 의해 실행될 수 있으며 및/또는 추후 실행을 위하여 저장 디바이스(110) 또는 다른 비휘발성 저장부에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태의 애플리케이션 코드를 획득할 수 있다.
도 18은 조명이 본 명세서에서 설명된 방법을 이용하여 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시하고 있다. 본 장치는:
- 방사선의 빔(B)을 조정하기 위한 조명 시스템(IL) -이 특정 경우, 조명 시스템은 또한 방사선 소스(SO)를 포함한다-;
- 패터닝 디바이스(MA) (예를 들어, 레티클)를 유지시키기 위해 패터닝 디바이스 홀더를 구비하며, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키기 위해 제1 포지셔너에 연결되어 있는 제1 대상물 테이블 (예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(W) (예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지시키기 위해 기판 홀더를 구비하며, 아이템(PS)에 대하여 기판을 정확히 위치시키기 위해 제2 포지셔너에 연결되어 있는 제2 대상물 테이블 (기판 테이블)(WT); 및
- 패터닝 디바이스(MA)의 조사된 부분을 기판(W) 상의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 이미지화하기 위한 투영 시스템 ("렌즈")(PS) (예를 들어, 굴절형, 반사형(catoptric) 또는 반사-굴절형(catadioptric) 광학 시스템)을 포함하고 있다.
본 명세서에 도시된 바와 같이, 장치는 투과 유형이다. (즉, 투과형 패터닝 디바이스를 갖는다). 그러나, 일반적으로 이는 또한 예를 들어 (반사형 패터닝 디바이스를 갖는) 반사 유형일 수 있다. 본 장치는 전형적인 마스크에 대해 상이한 종류의 패터닝 디바이스를 사용할 수 있다; 예는 프로그램 가능한 미러 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO) (예를 들어, 수은 램프 또는 엑시머 레이저, LPP (레이저 생성 플라즈마) EUV 소스)는 방사선의 빔을 생성한다. 이 빔은 직접적으로 또는, 예를 들어 빔 익스팬더(beam expander)와 같은 조절 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 빔 내의 세기 분포의 (통상적으로, σ-외측 및 σ-내측으로 각각 지칭되는) 외부 및/또는 내부 반경 방향 크기를 설정하기 위한 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 집속기(IN) 및 집광기(CO)와 같은 다양한 다른 구성 요소를 포함할 것이다. 이렇게 하여, 패터닝 디바이스(MA)에 충돌하는 빔(B)은 그 횡단면에 원하는 균일성 및 세기 분포를 갖는다.
도 18과 관련하여, 소스(SO)는 (흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이) 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 이는 또한 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 미러의 도움으로) 장치 내로 유도된다는 점이 주목되어야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 (예를 들어, KrF, ArF 또는 F2 레이징(lasing)를 기반으로 하는) 엑시머 레이저인 경우이다.
빔(PB)은 그후 패터닝 디바이스 테이블(MT) 상에 유지되고 있는 패터닝 디바이스(MA)를 통과(intercept)한다. 패터닝 디바이스(MA)를 가로지른 빔(B)은 렌즈(PL)를 통과하며, 렌즈는 빔(B)을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 위치 결정 수단 (및 간섭계 측정 수단(IF))의 도움으로, 기판 테이블(WT)은, 예를 들어 빔(PB)의 경로 내에 상이한 타겟 부분(C)들을 위치시키기 위하여 정확하게 이동될 수 있다. 유사하게, 제1 위치 결정 수단은, 예를 들어 패터닝 디바이스 라이브러리로부터의 패터닝 디바이스(MA)의 기계적인 인출(retrieval) 후에 또는 스캔 동안, 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키기 위해 사용될 수 있다. 일반적으로, 대상물 테이블(MT, WT)의 이동은 장-스트로크 모듈 (개략적인 위치 결정) 및 단-스트로크 모듈 (미세한 위치 결정)의 도움으로 실현될 것이며, 이 모듈들은 도 18에 명확히 도시되지는 않는다. 하지만, (스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단지 단-스트로크 액추에이터에 연결될 수 있거나 고정될 수 있다.
도시된 툴은 2개의 상이한 모드에서 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지는 한 번에 (즉, 단일 "플래시(flash)"로) 타겟 부분(C) 상으로 투영된다. 상이한 타겟 부분(C)이 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 그후 x 및/또는 y 방향으로 시프트된다.
- 스캔 모드에서는, 주어진 타겟 부분(C)이 단일 "플래시"로 노광되지 않는다는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향 (소위 "스캔 방향", 예를 들어 y 방향)으로 이동 가능하며, 따라서 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시에, 기판 테이블(WT)은 속도 V=Mv로 동일 방향 또는 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율 (전형적으로, M=1/4 또는 1/5)이다. 이 방식으로, 분해능을 손상시키지 않고도 비교적 넓은 타겟 부분(C)이 노광될 수 있다.
도 19는 조명이 본 명세서에서 설명된 방법을 이용하여 최적화될 수 있는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시하고 있다.
리소그래피 투영 장치(1000)는:
소스 컬렉터 모듈(SO);
- 방사선 빔(B) (예를 들어, EUV 방사선)을 조절하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스 (예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되며 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 지지 구조체 (예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판 (예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되며 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 테이블 (예를 들어, 웨이퍼 테이블)(WT); 및
- 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영하도록 구성된 투영 시스템 (예를 들어, 반사 투영 시스템)(PS)을 포함하고 있다.
본 도면에 도시된 바와 같이, 본 장치(1000)는 (예를 들어, 반사형 패터닝 디바이스를 사용하는) 반사 유형이다. 대부분의 재료는 EUV 파장 범위 내에서 흡수성이기 때문에 패터닝 디바이스는 예를 들어 몰리브덴과 실리콘의 다중 스택을 포함하는 다층 리플렉터를 가질 수 있다는 것이 주목되어야 한다. 일 예에서, 다중 스택 리플렉터는 각 층의 두께가 1/4 파장인, 몰리브덴 및 실리콘의 40개의 층 쌍을 갖는다. X-선 리소그래피로 심지어 더 작은 파장이 생성될 수 있다. 대부분의 재료는 EUV와 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 얇은 조각의 패터닝된 흡수 재료 (예를 들어, 다층 리플렉터의 최상부 상의 TaN 흡수제)는 피처가 인쇄되는 (포지티브 레지스트) 또는 프린트되지 않는 (네거티브 레지스트) 위치를 규정한다.
도 19를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 받아들인다. EUV 방사선을 생성하기 위한 방법은 재료를 EUV 범위 내의 하나 이상의 방출 라인으로 적어도 하나의 요소, 예를 들어 크세논, 리튬 또는 주석을 갖는 플라즈마 상태로 전환시키는 것을 포함하지만, 반드시 이에 제한되지는 않는다. 하나의 이러한 방법에서, 흔히 레이저 생성 플라즈마("LPP")라고 불리는 플라즈마는 레이저 빔으로, 라인 방출 요소를 갖는 재료의 액적, 스트림 또는 클러스터와 같은 연료를 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위한, 도 19에서는 보이지 않는, 레이저를 포함하는 EUV 방사선 시스템의 일부일 수 있다. 결과적인 플라즈마는 방사선, 예를 들어 EUV 방사선을 방출하며, 이 방사선은 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 레이저와 소스 컬렉터 모듈은, 예를 들어 CO2 레이저가 사용되어 연료 여기를 위하여 레이저 빔을 제공하는 경우 별도의 개체일 수 있다.
이러한 경우에, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어, 적절한 지향 미러 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 컬렉터 미러로 나아간다. 다른 경우에, 예를 들어 소스가 흔히 DPP 소스로 불리는 방전 생성 플라즈마 EUV 생성기일 때, 소스는 소스 컬렉터 모듈의 필수 부분일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하기 위한 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 (통상적으로, σ-외측 및 σ-내측으로 각각 지칭되는) 외부 및/또는 내부 반경 방향 범위가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 디바이스와 퓨필 미러 디바이스와 같은 다양한 다른 구성 요소를 포함할 수 있다. 일루미네이터는 방사선 빔의 횡단면에 원하는 균일성과 세기 분포를 갖도록 방사선 빔을 조정하기 위해 사용될 수 있다.
방사선 빔(B)은 지지 구조체 (예를 들어, 패터닝 디바이스 테이블)(MT) 상에서 유지되는 패터닝 디바이스 (예를 들어, 마스크)(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스 (예를 들어, 마스크)(MA)에서 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 빔을 기판(W)의 타겟 부분(C) 상으로 집속시킨다. 제2 포지셔너(PW) 및 위치 센서(PS2) (예를 들어, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 예를 들어, 방사선 빔(B)의 경로에 상이한 타겟 부분(C)들을 위치시키기 위하여, 기판 테이블(WT)은 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스 (예를 들어, 마스크)(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 패터닝 디바이스 (예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나의 모드에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체 (예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 고정된 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟 부분(C) 상으로 투영된다 (즉, 단일 정적 노광). 기판 테이블(WT)은 그후 상이한 타겟 부분(C)이 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체 (예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 동시에 스캐닝된다 (즉, 단일 동적 노광). 지지 구조체 (예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체 (예를 들어, 패터닝 디바이스 테이블)(MT)는 기본적으로 고정된 상태로 유지되어 프로그램 가능한 패터닝 디바이스를 유지시키며, 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 기판 테이블(WT)은 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스형 방사선 소스가 이용되며, 프로그램 가능한 패터닝 디바이스는 기판 테이블(WT)의 각 이동 후에 또는 스캐닝 동안의 연속적인 방사선 펄스들 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 위에서 지칭된 바와 같은 유형의 프로그램 가능한 미러 어레이와 같은, 프로그램 가능한 패터닝 디바이스를 이용하는 마스크 없는(maskless) 리소그래피에 용이하게 적용될 수 있다.
도 20은 소스 컬렉터 모듈(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함하는 리소그래피 장치(1000)를 보다 상세히 보여주고 있다. 소스 컬렉터 모듈(SO)은 진공 환경이 소스 컬렉터 모듈(SO)의 외함 구조체(220) 내에서 유지될 수 있도록 구성되고 배열되어 있다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 가스 또는 증기, 예를 들어 초고온의 플라즈마(210)가 생성되어 전자기 스펙트럼의 EUV 범위의 방사선을 방출하는 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온의 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 유발하는 방전에 의해 생성된다. 예를 들어, 10Pa의 Xe, Li, Sn 증기 또는 임의의 다른 적절한 가스 또는 증기의 부분 압력이 효율적인 방사선 생성을 위해 요구될 수 있다. 예에서, 여기된 주석(Sn)의 플라즈마가 제공되어 EUV 방사선을 생성한다.
고온 플라즈마(210)에 의해 방출된 방사선은 소스 챔버(211)의 개구 내에 또는 그 뒤에 위치된 (일부 경우에, 오염물 배리어 또는 포일 트랩으로도 지칭되는) 선택적인 가스 배리어 또는 오염물 트랩(230)을 통해 소스 챔버(211)로부터 컬렉터 챔버(212) 내로 나아간다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 오염물 트랩(230)은 또한 가스 배리어 또는 가스 배리어와 채널 구조체의 조합을 포함할 수 있다. 본 기술 분야에서 공지된 바와 같이, 본 도면에 추가로 표시된 오염물 트랩 또는 오염물 배리어(230)는 채널 구조체를 적어도 포함하고 있다.
컬렉터 챔버(212)는 소위 그레이징(grazing) 입사 컬렉터일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 상류 방사선 컬렉터 측(251) 및 하류 방사선 컬렉터 측(252)을 갖고 있다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(240)에서 반사되어 일점 쇄선(O)으로 나타나 있는 광학 축을 따라 가상 소스 포인트(IF)에 집속될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 초점으로 지칭되며, 소스 컬렉터 모듈은 중간 초점(IF)이 외함 구조체(220)의 개구(219)에 또는 그 근처에 위치하도록 배열되어 있다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다.
이어서, 방사선은 조명 시스템(IL)을 가로지르며, 이 조명 시스템은 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포뿐만 아니라 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성을 제공하도록 배열된 패싯 필드 미러 디바이스(22) 및 패싯 퓨필 미러 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되는 패터닝 디바이스(MA)에서 방사선의 빔(21)이 반사되면, 패터닝된 빔(26)이 형성되며, 패터닝된 빔(26)은 반사 요소(28, 30)를 통하여 투영 시스템(PS)에 의하여, 기판 테이블(WT)에 의하여 유지되는 기판(W) 상으로 이미지화된다
일반적으로 조명 광학계 유닛(IL) 및 투영 시스템(PS)에는 보여지는 것보다 많은 요소가 존재할 수 있다. 리소그래피 장치의 유형에 따라, 격자 스펙트럼 필터(240)는 선택적으로 존재할 수 있다. 또한, 도면에서 보여지는 것보다 더 많은 미러가 존재할 수 있으며, 예를 들어 도 20에서 보여지는 것보다 투영 시스템(PS)에는 1개 내지 6개의 추가적인 반사 요소가 있을 수 있다.
도 20에 도시된 바와 같이, 컬렉터 광학계(CO)는 단지 컬렉터 (또는 컬렉터 미러)의 예로서, 그레이징(grazing) 입사 리플렉터(253, 254 및 255)를 갖는 네스티드(nested) 컬렉터로 도시되어 있다. 그레이징 입사 리플렉터(253, 254 및 255)들은 광학 축(O) 주위에 축 대칭으로 배치되어 있으며, 이 유형의 컬렉터 광학계(CO)는 흔히 DPP 소스로 불리는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 21에서 보여지는 바와 같이 LPP 방사선 시스템의 일부일 수 있다. 레이저(LA)는 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배열되어 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성한다. 이 이온의 탈여기 및 재결합 동안 생성된 에너지 방사선은 플라즈마로부터 방출되고, 근수직 입사 컬렉터 광학계(CO)에 의해 수집되며, 외함 구조체(220)의 개구(221)에 집속된다.
미국 특허출원 공개 US 2013-0179847 가 원용에 의해 전체적으로 포함된다.
본 명세서에 개시된 개념은 서브 파장 피처를 이미징하기 위하여 임의의 포괄적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 더욱 더 짧은 파장을 생성할 수 있는 새로운 이미징 기술로 특히 유용할 수 있다. 이미 사용 중인 새로운 기술은 ArF 레이저를 사용하여 193㎚ 파장, 심지어 불소 레이저를 사용하여 157㎚ 파장을 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 20 내지 5㎚ 범위 내의 광자를 생성하기 위하여 싱크로트론을 이용함으로써 또는 고에너지 전자로 재료 (고체 또는 플라즈마)를 타격함으로써 이 범위 내의 파장을 생성할 수 있다.
본 명세서에 개시된 개념은 실리콘 웨이퍼와 같은 기판 상의 이미징을 위하여 사용될 수 있지만, 개시된 개념은 임의의 유형의 리소그래피 이미징 시스템, 예를 들어 실리콘 웨이퍼 이외의 기판 상의 이미징에 사용되는 시스템과 함께 사용될 수 있다는 점이 이해되어야 한다.
리소그래피 장치를 사용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하는 특정 리소그래피 공정을 개선하는 특정 적용에 대해 위에서 설명된 기술이 설명되었다.
실시예는 전반적으로 기판 상의 반도체 구조의 제조, 테스트, 측정 및 기타 공정 중 임의의 것을 개선하기 위해 이미지 관련 메트릭을 사용하는 기술을 제공한다. 특히, 새로운 이미지 관련 메트릭(metric)이 생성된다. 새로운 이미지 관련 메트릭은 현재 문서 전체에서 오버레이 마진(overlay margin)으로 지칭된다. 오버레이 마진은 제조되고 있는 피처의 오버레이 오차에 대한 허용오차의 표시를 제공한다.
실시예는 또한 오버레이 마진에 의존하여 기판 상의 반도체 구조에 대해 수행될 수 있는 제조, 테스트, 측정 및 기타 공정 동안에 수행되는 공정들 중 임의의 것에서의 제어 매개변수의 결정을 개선하기 위한 기술을 제공한다.
오버레이 마진은 기판의 상이한 층 및 부분의 복수의 이미지로부터 결정될 수 있다. 각 이미지는 e-빔 기반 계측 장치 또는 임의의 유형의 주사 전자 현미경과 같은 이미징 디바이스에 의하여 획득될 수 있다. (예를 들어, HMI에 의하여 제조된) e-빔 장치는 10㎛×10㎛의 관측 시야를 가질 수 있다.
실시예의 기술에 의하여 개선될 수 있는 공정은 리소그래피 공정, 스캐닝 공정, 프라이밍 공정, 레지스트 코팅 공정, 소프트 베이킹 공정, 노광 후 베이킹 공정, 현상 공정, 하드 베이킹 공정, 측정/검사 공정, 에칭 공정, 이온 주입 공정, 금속화 공정, 산화 공정 및 화학-기계적 연마 공정 중 임의의 것을 포함한다. 오버레이 마진은 이 공정들 중 임의의 공정뿐만 아니라 이 공정들의 임의의 조합에 대한 제어 매개변수를 결정하기 위해 이용될 수 있다.
실시예는 연산 계측(computational metrology) 및 제어 공정 모두를 수행하는 것을 포함할 수 있다. 연산 공정은 기판의 복수의 층의 각각에서 기판의 부분의 하나 이상의 이미지를 획득하는 것을 포함한다. 각 획득된 이미지는 기판 상에서 제조되고 있는 구조체로 구성된 피처를 포함한다. 오버레이 마진은 피처의 윤곽과 같은, 피처의 특성에 의존하여 계산된다. 그후 피처의 제조 공정 및 기타 공정을 제어하기 위한 제어 매개변수는 오버레이 마진에 의존하여 결정될 수 있다.
도 24는 기판의 일부분 상의 피처의 이미지를 보여주고 있다. 이 이미지는 기판 상의, 예를 들어 10㎛×10㎛ 영역을 나타낼 수 있다. 이미지의 두꺼운 라인은 피처들 중 하나의 타겟 윤곽(contour)이다. 이미지의 얇은 라인은 제조된 피처의 실제 윤곽(outline)이다. 피처의 이상적인 형상은 직사각형일 수 있지만 타겟 윤곽은 만곡지거나/궁글며, 이는 이것이 제조될 수 있는 직사각형에 가장 가까운 가능한 형상이고 따라서 실제로 달성할 수 있는 최상의 윤곽이기 때문이다. 이상적인 형상은 대안적으로 타겟 형상으로 사용될 수 있다.
도 25는 복수의 스택형 이미지를 보여주고 있다. 적층된 이미지들은 기판의 상이한 층들 내의 동일한 피처의 하나 이상의 대응하는 이미지 및/또는 기판의 동일한 층 상의 복수의 피처의 이미지로부터 각각 얻어진 것일 수 있다. 이미지들은 부가적으로 또는 대안적으로 복수의 상이한 기판 상의 피처들 및/또는 기판의 동일한 층 상의 동일한 피처의 이미지들일 수 있지만 상이한 이미징 디바이스들에 의해 촬영될 수 있다.
이미지를 적층할 때 정렬 공정이 수행되었다. 정렬 공정은 이미지들 사이에 오버레이 오차가 없도록 이미지들의 각각에 있거나 이미지들의 각각에 중첩되는 하나 이상의 기준 위치에 의존하여 이미지들을 정렬하는 것을 기반으로 할 수 있다. 예를 들어, 정렬 공정은 타겟 디자인들 사이에 오버레이 오차가 없도록 이미지들 내의 피처들의 타겟 디자인들을 정렬하는 것을 포함할 수 있다. 정렬 공정은 GDS 데이터에 의존하여 이미지를 정렬하는 것을 기반으로 할 수 있다. 정렬 공정을 수행하는 효과는 상이한 이미지들 간의 오버레이 오차의 효과를 제거하는 것이다.
오버레이 마진은 정렬된 이미지의 스택 내의 피처의 확률적 변동의 척도이다. 오버레이 마진은 이미지의 정렬된 버전 내의 대응하는 피처들의 윤곽들 사이의 차이에 의존하여 계산될 수 있다. 오버레이 마진은 또한 피처의 타겟 윤곽에 의존하여 계산될 수 있다. 예를 들어, 이미지들의 각각에 대해, 오버레이 마진은 피처의 타겟과의 이미지 내의 피처의 비교에 의존하여 계산될 수 있다. 이미지 내의 피처의 윤곽과 다른 이미지 내의 피처의 윤곽 간의 차이는 물론 피처에 대한 타겟 윤곽은, 임계 치수 균일성(CDU), 선 폭 거칠기(LWR), 임계 치수 진폭 및 배치 오차와 같은 복수의 잘 알려진 특정 이미지 관련 메트릭에 의해 결정될 수 있다.
오버레이 마진은 공지된 이미지-메트릭 에지 배치 오차(Edge Placement Error)(EPE)와 관련된다. EPE는 피처의 하나 이상의 이미지의 윤곽과 피처에 대한 타겟 윤곽 간의 차이의 전반적인 표현을 제공하는 이미지-메트릭이다. EPE는 피처의 이미지와 피처에 대한 타겟 윤곽 사이의 오버레이 오차를 포함한다.
위에서 설명된 정렬 공정에 의해 오버레이 오차가 제거되기 때문에 오버레이 마진은 피처의 이미지들 간의 오버레이 오차를 포함하지 않는다는 점에서 오버레이 마진은 EPE와 다르다.
오버레이 마진을 결정하는 방법이 수학식 8에서 보여지고 있다.
Figure pct00115
(수학식 8)
따라서 오버레이 마진은 EPE와 오버레이 오차를 계산함으로써 계산될 수 있다. 오버레이 오차는 이미지에서 수행된 정렬에 의존하여 계산될 수 있다. 오버레이 마진은 그후 EPE의 계산에서 오버레이 오차를 감함(subtracting)으로써 계산될 수 있다.
수학식 8에서의 오버레이 오차는 실제 오버레이 양과 디자인 사양의 조합으로 계산될 수 있다는 점이 주목되어야 한다. 이는 구조체의 상이한 층들에 있는 피처들 사이에 중첩이 있는 것이 바람직하지만 중첩이 발생할지라도 요구되는 중첩 영역이 달성되지 못하는 경우, 장애 조건이 발생할 수 있기 때문이다. 유사하게, 구조체의 상이한 다른 층들에 있는 피처들의 분리가 있는 것이 바람직하지만 피처들이 분리될지라도 요구되는 양의 분리가 달성되지 않는 경우, 장애 조건이 발생할 수 있다. 디자인 사양은 피처들의 요구되는 중첩 영역 및/또는 피처들의 요구되는 분리 양을 포함한다. 따라서 실제 오버레이 양과 디자인 사양의 조합에 의존하여 오버레이 오차를 계산하는 것이 적절하다..
오버레이 마진은 대안적으로 정렬된 이미지에서 오버레이 마진에 대한 기여도의 조합에 의존하여 결정될 수 있다. 이는 수학식 9에서 보여지고 있다.
Figure pct00116
(수학식 9)
수학식 9에서:
HROPC는 광학 근접 보정에 의하여 야기되는 오차에 의존적이다;
σPBA는 근접 바이어스 평균에 의하여 야기되는 오차에 의존적이다;
σLWR는 라인 폭 거칠기에 의하여 야기되는 오차에 의존적이다; 그리고
σCDU는 임계 치수 균일성에 의하여 야기되는 오차에 의존적이다;
수학식 9에서, 결정된 오버레이 마진에 대한 기여도는 OPC, PBA, LWR 및 CDU이다. 실시예는 오버레이 마진에 대한 하나 이상의 추가 기여도를 포함하고 및/또는 수학식 9에 포함된 오버레이 마진에 대한 기여도 중 하나 이상을 포함하지 않는, 오버레이 마진을 결정하는 방정식의 대안적인 구성을 포함한다. 오버레이 마진은 오버레이 오차를 제외하고 EPE 계산에 대한 모든 기여도에 의존하여 계산될 수 있다.
이미지들의 각각은 전형적으로 기판의 작은 부분에 불과하다. 예를 들어, 각 이미지는 기판 상의 10㎛×10㎛ 영역을 나타낼 수 있다. 오버레이 마진은 기판의 동일한 부분의 상이한 층들의 복수의 이미지에 의존하여 계산될 수 있다. 이는 기판의 그 부분에 대한 국부적 오버레이 마진이다.
복수의 국부적 오버레이 마진은 기판의 복수의 상이한 부분들의 각각에 대해 계산될 수 있으면서, 국부적 오버레이 마진들의 각각은 기판의 동일한 부분의 상이한 층들의 복수의 이미지에 의존하여 계산된다. 국부적 오버레이 여백은 기판의 모든 위치에서 또는 기판의 일부 위치에서만 획득될 수 있다. 국부적 오버레이 마진이 기판 상의 일부 위치에서만 획득되는 경우, 위치는 기판의 지문(fingerprint)을 제공하도록 선택될 수 있다.
각 이미지는 부가적으로 또는 대안적으로 복수의 구역(section)을 포함하는 것으로 간주될 수 있다. 국부적 오버레이 마진은 각 이미지에 대한 복수의 국부적 오버레이 마진이 있도록 이미지의 구역들의 각각에 대해 계산될 수 있다.
기판의 오버레이 마진은 복수의 국부적 오버레이 마진을 포함할 수 있으면서, 국부적 오버레이 마진들의 각각은 기판의 상이한 부분의 이미지 및/또는 이미지의 구역에 의존하여 계산된다.
중첩 오버레이 마진은 이미지 및/또는 이미지의 구역 내의 피처의 최소 오버레이 마진으로 규정될 수 있다.
오버레이 마진은 기판에 걸친 오버레이 마진의 국부적인 변동을 보여주는 오버레이 마진 맵(map)으로 표현될 수 있다.
오버레이 마진은 대안적으로 기판에 걸친 중첩 오버레이 마진의 국부적인 변동을 보여주는 중첩 오버레이 마진 맵으로 표현될 수 있다.
기판의 국부적 오버레이 마진 및/또는 중첩 오버레이 마진의 평균인 전역적 오버레이 마진이 계산될 수 있다.
오버레이 마진, 및 오버레이 마진의 표현은 오버레이 마진에 기여할 수 있는 각 매개변수의 복수의 값의 각각에 대해 계산될 수 있다. 각 매개변수에 대한 오버레이 마진의 의존성은 매개변수의 값에 대해 계산된 오버레이 마진으로부터 계산, 또는 추론될 수 있다. 복수의 매개변수에 대한 오버레이 마진의 의존성 또한 결정될 수 있다.
예를 들어, 기판의 2개 이상의 층들 사이에서 기판의 표면을 가로지르는 중첩 오버레이 마진의 변동을 보여주는 중첩 오버레이 마진 맵이 생성될 수 있다. 중첩 오버레이 마진 맵은 임계 치수(CD)의 함수로서 결정될 수 있다. 따라서 오버레이와 CD 공동-최적화에 사용될 수 있는 다차원 메트릭이 생성된다.
오버레이 마진에 기여할 수 있는 매개변수는 초점, 선량, 조명 퓨필 형상 (예를 들어, 타원율), 수차 (예를 들어, 코마, 구형, 비점 수차), 에칭률, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분배를 포함할 수 있다. 이 매개변수들 중 하나 이상에 대한 오버레이 마진의 의존성이 결정될 수 있다.
반도체 제조 공정의 수율은 제조 오차의 발생에 의존적이다. 제조 오차는 구조체의 상이한 층들 내의 피처들 간의 요구되는 중첩 영역이 발생하지 않는 경우에 발생한다. 제조 오차는 또한 구조체의 상이한 층들 내의 피처들의 요구되는 최소 분리가 달성되지 않은 경우에 발생한다. EPE는 피처의 위치 변동과 피처의 윤곽의 척도이며 또한 정확하게 제조된 구조체의 예상 수율 및/또는 구조체가 부정확하게 제조되는 확률을 결정하기 위해 사용될 수 있다. 오버레이 마진과 EPE 간의 관계로 인하여, 수학식 8에서 보여지는 바와 같이, 정확하게 제조된 구조체의 예상 수율 및/또는 구조체가 부정확하게 제조되는 확률을 달성하기 위하여 오버레이 마진은 허용 가능한 오버레이 오차의 양을 결정하도록 사용될 수 있다.
오버레이 오차는 다수의 제어 가능한 매개변수에 의존적이다. 오버레이 오차에 영향을 미치는 매개변수의 값 및 값의 가능한 범위는 따라서 오버레이 오차가 예상 수율을 달성할 것으로 예상되는 범위 내에 있도록 오버레이 마진에 의존하여 결정될 수 있다. 예상 수율은 제조 사양에 따른 원하는 수율일 수 있다.
실시예는 오버레이 마진에 의존하여 기판 상의 구조체의 제조, 검사 및/또는 테스트 공정을 제어하기 위하여 매개변수를 결정하는 것을 포함한다. 오버레이 마진에 의존하여 제어될 수 있는 매개변수는 초점, 선량, 조명 퓨필 형상 (예를 들어, 타원율), 수차 (예를 들어, 코마, 구형, 비점 수차), 에칭률, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분배를 포함한다. 매개변수에 의해 제어되는 공정은 리소그래피 공정, 프라이밍 공정, 레지스트 코팅 공정, 소프트 베이킹 공정, 노광 후 베이킹 공정, 현상 공정, 하드 베이킹 공정, 측정/검사 공정, 에칭 공정, 이온-주입 공정, 금속화 공정, 산화 공정 및 화학-기계적 연마 공정일 수 있다.
EPE의 허용 레벨은 제조 사양에 의존적이다. 제조 사양은 원하는 수율, 피처가 부정확하게 제조되는 최대 확률, EPE의 결정된 최대 허용 크기, 결정된 최대 허용 오버레이, 및 반도체 디바이스의 원하는 수율 중 하나 이상에 의존적일 수 있다.
위에서 설명된 바와 같이, EPE는 오버레이 마진과 오버레이 오차에 의존적이다. 따라서 오버레이 마진은 EPE가 특정 레벨에 있도록 오버레이 오차에 대한 제한이 결정되는 것을 허용한다. 각 매개변수에 대한 오버레이 오차의 의존성이 결정될 수 있다. 따라서 매개변수들의 각각에 대한 값 및 값 범위는 오버레이 마진에 의존하여 결정될 수 있다.
각 매개변수는 오버레이 마진 맵, 하나 이상의 국부적 오버레이 마진 및 전역적 오버레이 마진 중 하나 이상에 의존하여 결정될 수 있다.
오버레이 오차에 영향을 미치는 매개변수들은 또한 제어 매개변수들 하나의 적용 값이 제어 매개변수들 중 또 다른 것의 적용 값에 의존적이도록 공동 결정될 수 있다. 제어 매개변수들 중 적어도 2개의 공동 결정은 적어도 2개의 제어 매개변수의 조합된 효과 및/또는 적어도 2개의 제어 매개변수의 상호 의존성에 의존적일 수 있다. 제어 매개변수들을 공동 결정함으로써, 제어 매개변수들의 조합된 효과 및/또는 제어 매개변수들의 효과들의 상호 의존성을 사용하여 수율을 개선하기 위해 또는 임의의 다른 목표와 관련하여 최적화하기 위하여 제어 매개변수의 결정을 유리하게는 개선할 수 있다. .
공정 동안의 제어 매개변수의 변화율 및 범위에 대한 제한이 결정될 수 있다. 예를 들어, 디바이스의 제조하는 동안, 초점이 변경될 수 있는 비율 및 이동 속도로 인하여 기판 상의 2개의 상이한 위치 사이에서 초점이 변경될 수 있는 정도에 제한이 있을 것이다. 실시예는 허용 가능한 오버레이 오차에 주어진 제어 매개변수에 대해 최적화 공정을 수행하기 위해 제어 매개변수의 결정된 제한을 이용하는 것을 포함한다. 예를 들어, 적용될 수 있는 매개변수의 값에 대한 제한을 고려해 볼 때, 매개변수는 오버레이 오차에 대한 증가된 기여도를 초래하는 레벨에서 설정될 수 있다. 이는 오버레이 오차에 대한 기여도를 줄이기 위해 또 다른 매개변수를 제어함으로써 전체의 오버레이 오차가 허용 가능한 범위 내에 남아 있는 상태에서 가능하게 될 수 있다.
오버레이 오차는 공동 결정된 제어 매개변수들 중 적어도 하나에 의존적일 수 있으며, 반도체 디바이스 상에서 제조된 피처의 치수는 공동 결정된 제어 매개변수들 중 적어도 하나의 다른 것에 의존적일 수 있다.
공동 결정된 제어 매개변수는 초점, 선량, 조명 퓨필 형상, 수차, 에칭률, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함할 수 있다.
위에서 설명된 바와 같이, 오버레이 마진과 적용된 매개변수 간의 관계가 결정될 수 있다. 매개변수의 적용된 값 및 적용 가능한 범위는 매개변수가 오버레이 마진에 어떻게 영향을 미치는지에 의존하여 결정될 수 있다.
매개변수의 적용된 값 및 적용 가능한 범위의 결정은 오버레이 마진과 오버레이 오차 모두에 대한 매개변수의 영향에 의존하여 이루어질 수 있다.
복수의 매개변수의 적용된 값 및 적용 가능한 범위의 공동 결정은 오버레이 마진과 오버레이 오차 모두에 대한 복수의 매개변수의 영향에 의존하여 이루어질 수 있다.
예를 들어, 오버레이 오차에 대한 제한을 줄이기 위해 오버레이 마진을 최소화하도록 하나 이상의 매개변수가 결정될 수 있다. 이는 다른 매개변수가 오버레이 오차에 대한 그의 기여도를 증가시키는 값에서 설정되는 것을 허용할 수 있다. 특히, 중첩 오버레이 마진 맵은 임계 치수(CD)의 함수로서 결정될 수 있다. 그후 이는 오버레이 및 CD 공동-최적화를 위하여 사용될 수 있다.
도 26은 실시예에 따른 기판 상의 피처의 이미지-메트릭을 결정하기 위한 공정의 흐름도이다.
단계 2601에서, 공정이 시작된다.
단계 2603에서, 기판 상의 복수의 피처의 제1 이미지가 획득된다.
단계 2605에서, 기판 상의 대응하는 복수의 피처의 하나 이상의 추가 이미지가 획득되며, 여기서 하나 이상의 추가 이미지 중 적어도 하나는 제1 이미지와는 기판의 다른 층의 이미지이다.
단계 2607에서, 제1 및 하나 이상의 추가 이미지에 대해 정렬 공정을 수행함으로써 제1 및 하나 이상의 추가 이미지의 정렬된 버전이 생성되며, 여기서, 정렬 공정은 제1 이미지 내의 피처와 하나 이상의 추가 이미지의 각각 내의 대응 피처 사이의 임의의 오버레이 오차의 영향을 실질적으로 제거한다.
단계 2609에서, 제1 이미지의 정렬된 버전의 피처와 하나 이상의 추가 이미지의 정렬된 버전의 대응 피처의 비교에 의존하여 이미지-메트릭이 계산된다.
단계 2611에서, 공정이 종료된다.
실시예는 공지된 공정에 대한 복수의 수정예 및 변형예를 포함한다.
본 명세서 전체에 걸쳐 설명된 임의의 기술은 실시예의 이미지 관련 메트릭을 결정하고 최적화하기 위해 사용될 수 있다.
실시예는 반도체 디바이스의 제조에서 공정을 제어하기 위한 제어 매개변수를 결정한다. 공정은 측정 공정을 포함하는 임의의 공정을 포함하며, 임의의 공지된 장치에 의해 수행될 수 있다. 실시예에 따른 공정은 비일시적 컴퓨터 판독 가능한 매체에 저장된, 공정을 수행하기 위한 명령어를 실행하는 컴퓨팅 시스템에 의해 제어될 수 있다.
위에서 설명된 바와 같이, 제어 매개변수는 계측 데이터에 의존하여 결정될 수 있다. 계측 데이터는, 예를 들어 e-빔 검사 툴 또는 광학 검사 툴에 의해 생성된, 기판 상의 피처의 이미지로부터 획득될 수 있다. 계측 데이터는 측정된 피처의 통계적 특성을 포함할 수 있다. 기판의 전체 표면에 대한 계측 데이터를 얻는 것의 문제는 이것이 엄청나게 긴 측정 시간을 필요로 한다는 것이다.
추가 실시예에 따르면, 계측 데이터를 획득하기 위하여 요구되는 측정 시간을 줄이기 위한 기술이 제공된다. 계측 데이터를 획득하기 위해 사용되는 샘플링 스킴(scheme) 및/또는 관측 시야(들)(FOV(들))은 계측 데이터를 획득하기 위해 요구되는 측정 횟수, 그리고 따라서 측정 시간이 감소되도록 결정된다. 샘플링 스킴 및/또는 FOV(들)는 측정 데이터의 정확도가 감소된 측정 횟수에 의해 크게 감소되지 않도록 기판 표면의 가장 임계적인 위치에서 측정 데이터가 여전히 결정되도록 결정된다.
특히, EPE의 허용 가능한 허용오차 레벨은 기판, 또는 필드, 다이 또는 다이 내의 기능 블록에 대응할 수 있는 기판의 부분(들)에 걸쳐 결정될 수 있다. 이는 EPE 성능을 위한 기판 상의 가장 임계적인 위치를 식별하는 기판의 EPE 임계도 맵을 결정하기 위해 사용될 수 있다.
EPE 임계도 맵은 그후 계측 데이터를 획득하기 위하여 샘플링 스킴 및/또는 FOV(들)를 결정하는 데 사용될 수 있다. 예를 들어, EPE 임계도 맵은 측정이 획득되는 기판 상의 위치, 각 위치에서 사용되는 FOV 크기 및/또는 각 위치에서의 측정의 밀도를 결정하기 위해 사용될 수 있다.
본 실시예에 따른 기술은 계측 데이터를 획득하기 위해 요구되는 측정 횟수를, 공지된 기술에 따라 기판의 대응하는 전체 또는 일부를 측정하기 위해 요구되는 측정 횟수의 약 5%로 줄일 수 있다. 따라서 실시예는 계측 데이터를 획득하기 위해 요구되는 시간을 상당히 감소시킨다.
실시예는 또한 다른 유형의 임계도 맵을 생성하는 것, 및 샘플링 스킴 및/또는 FOV(들)을 결정하기 위해 임계도 맵을 이용하는 것을 포함한다. 임계도 맵은 오버레이 또는 LWR과 같은, EPE 이외의 다른 메트릭을 기반으로 할 수 있다. 그러나, EPE는 수율의 양호한 지표이기 때문에 EPE는 임계도 맵을 위한 바람직한 메트릭이다.
본 실시예에 따른 기술이 아래에 더 상세하게 설명된다.
기판 상에 제조된 층의 일부 또는 전부에 대한 피처의 의도된 디자인 및/또는 형상을 나타내는, 레티클 디자인 데이터/GDS 데이터와 같은 디자인 데이터가 획득된다. 디자인 데이터는 EPE 임계도, 즉 관찰되어야 하는 EPE 허용오차를 결정하기 위해 사용될 수 있다. 예를 들어, EPE 임계도는 동일한 및/또는 상이한 층들의 피처들 간의 최소 허용 가능한 간격 및/또는 상이한 층들의 피처들의 최소 허용 가능한 중첩을 규정할 수 있다. 15㎚의 EPE 임계도는, 15㎚보다 큰 콘택트 홀과 같은 피처의 에지 시프트가 있다면, 이는 콘택트 홀을 포함하는 디바이스가 수율에 기여하지 않을(non-yielding) 높은 가능성을 야기할 것이라는 점을 의미할 수 있다.
EPE 임계도는 EPE 임계도 맵을 생성하기 위해 사용될 수 있다. EPE 임계도 맵은 기판 또는 기판 영역에 걸쳐 분포된 피처들에 대한 예측된 공정 마진 분포를 제공한다.
사용될 수 있는 가능한 FOV 크기 및/또는 측정 밀도와 같은, 사용될 계측/검사 툴의 능력에 관한 데이터 또한 획득된다.
충분한 정확도로 피처의 EPE를 결정하기 위하여 요구되는 각 피처에 대한 측정의 발생 횟수에 관한 데이터도 획득된다. 피처의 측정 발생 횟수는 10,000 미만일 수 있다. 그러나 피처의 측정 발생 횟수는 전형적으로 적어도 10,000이며, 100,000 이상일 수 있다. 각 피처에 대한 발생 횟수는 미리 결정될 수 있거나 사용자에 의해 설정될 수 있다.
오버레이 타겟의 위치에 관한 데이터 또한 획득된다. 각 오버레이 타겟은 오버레이 측정이 수행될 수 있는 위치이다. 오버레이 타겟은 다이 내(in-die) 타겟 및 스크라이브-라인 타겟을 포함한다. 다이는 디바이스의 피처가 형성될 수 있는 기판의 일부이며, 다이 내 타겟은 이러한 다이 내의 위치이다. 기판 상의 다이들은 하나 이상의 스크라이브-라인에 의해 서로 분리되며 스크라이브-라인 타겟은 이러한 스크라이브-라인 내에 위치된다.
EPE 임계도 맵은 디자인 데이터에 더하여, 위에서 식별된 획득된 데이터 중 적어도 일부에 추가로 의존하여 생성될 수 있다.
기판 영역의 EPE 임계도 맵의 예가 도 27a에서 보여지고 있다. 도 27b 내지 도 27d는 도 27a에서 보여지는 기판의 영역을 갖는 하나 이상의 서브-영역에서 계측 데이터를 획득하기 위해 사용될 수 있는 FOV(들)를 보여주고 있다.
도 27a는 기판 상의 영역(2700)에 걸쳐 분포된 피처의 EPE 임계도 변동을 도시하고 있다. 영역(2700)은 도 27b에 도시된 바와 같이 검사 툴의 최대 FOV(2710)보다 약간 더 크다.
도 27a에서, 밝은 회색으로 보여지는 영역(2702)은 비교적 큰 공정 마진, 예를 들어 25㎚의 EPE 임계도 (즉, 허용오차)을 갖는 피처와 연관된다. EPE 임계도 맵이 대응하는 공정이 25㎚ 미만의 최대 EPE를 갖고 있는 경우, 영역들(2702) 중 하나에서 발생하는 에지 배치의 오차로 인한 수율 손실의 가능성은 낮다.
더 어두운 회색으로 보여지는 영역(2704)은 18㎚의 EPE 임계도를 갖는 피처를 포함한다.
흑색으로 보여지는 영역(2706)은 14㎚의 EPE 임계도를 갖는 피처를 포함하고 있다. 이는 EPE 임계도 맵에 의하여 식별되는 가장 임계적인 피처이다.
영역(2700)의 나머지 부분은 25㎚를 초과하는 EPE 임계도를 갖는 피처만을 포함한다. 예를 들어, EPE 임계도는 30㎚ 이상일 수 있다. 이는 EPE 임계도 맵에 의해 식별된 가장 덜 임계적인 피처이다.
도 27b는 영역(2700)과 연관된 계측 데이터를 획득하는 공지된 방법을 도시하고 있다. FOV(2710)는 모든 영역(2702, 2704 및 2706)을 포함하기에 충분히 큰 크기를 갖도록 선택된다. 이 방법의 단점은 큰 FOV 크기는 많은 수의 피처가 측정되는 것을 초래하기 때문에 측정 획득 시간이 상대적으로 길다는 것이다. 측정된 영역의 95%보다 많은 영역이 비임계적인 피처와 연관되어 있기 때문에 이는 비효율적이다. 따라서 가장 임계적인 피처에 기여하는 측정 횟수는 전체 측정 수의 작은 부분일 뿐이다.
도 27c는 본 실시예에 따른 FOV 설정을 결정하는 방법을 도시하고 있다.
FOV 설정은 FOV의 수, 각 FOV의 크기 및 각 FOV의 위치를 포함할 수 있다. FOV의 크기는 달라질 수 있다. 예를 들어, FOV 크기는 최대 약 40㎛×40㎛에서 약 0.1㎛×0.1㎛까지 변경될 수 있다. 최대 FOV 크기는 40㎛×40㎛보다 크거나 작을 수 있다. 예를 들어, 최대 FOV 크기는 36㎛×36㎛ 또는 15㎛×15㎛일 수 있다. 유사하게, 최소 FOV 크기는 0.1㎛×0.1㎛보다 크거나 작을 수 있다. 예를 들어, 최소 FOV 크기는 1㎛×1㎛일 수 있다. FOV는 정사각형일 수 있지만 대안적으로 다른 형상을 가질 수 있다.
샘플링 스킴은 위에서 설명된 FOV 설정 및/또는 각 FOV 내의 측정의 밀도를 포함할 수 있다.
본 실시예에서, FOV 크기는 도 27b의 FOV의 크기로부터 감소되며, 측정을 획득하기 위해 사용되는 FOV들의 수는 1에서 2로 증가된다. 위치 2712 및 2714에서만 측정을 획득함으로써 기판 상의 임계 피처의 정확한 계측 데이터를 적절하게 얻기 위한 충분한 정보가 획득된다. 측정 위치에서의 FOV 크기는 FOV(2712)와 FOV(2714)의 조합된 크기가 FOV(2710)의 크기보다 작도록 도 27b에 사용된 FOV 크기보다 상당히 작다.
도 27d는 FOV들이 FOV(2718)와 FOV(2716)로 크기가 더 감소되는 본 실시예에 따른 방법의 대안적인 구현 형태를 보여주고 있다. FOV 크기의 이 추가 감소는 각 FOV에 대한 측정 시간을 더욱 단축한다.
계측 데이터를 획득하기 위해 사용되는 FOV들의 수를 증가시키는 것은 FOV들 사이를 변경하기 위하여 검사 툴 내에서의 기판의 이동과 같은 추가 작업을 필요로 할 수 있다. 그러나, FOV의 크기를 줄이는 것은 측정 횟수를 감소시키기 때문에 추가 작업에 의해 야기되는 측정 시간의 증가는 FOV들의 크기 감소에 의하여 야기되는 측정 시간의 감소보다 상당히 적을 수 있다. 또한, 검사 툴이 e-빔일 때, 전자 빔 편위, 즉 스캐닝 진폭은 감소될 수 있으며 이는 FOV 당 측정 시간을 감소시키기 때문에 FOV의 크기가 감소될 때 측정은 더 빠르게 수행될 수 있다.
측정 시간을 줄이기 위해 사용될 FOV들의 크기 및 수를 결정할 때, 실시예는 FOV 변경을 구현하기 위해 요구되는 시간이 FOV 변경으로 인한 측정 시간 감소보다 작은지를 결정하는 것을 포함한다. FOV들의 크기와 수를 변경하기 위한 결정은 변경이 전체 시간 절약을 야기하는 것으로 예상되는 경우에만 이루어질 수 있다.
부가적으로 또는 대안적으로, FOV 크기를 변경하기 위해, 샘플링 스킴은 각 FOV 내의 측정 밀도를 변화시킴으로써 변경될 수 있다. 측정 데이터의 정확도 및 측정 시간 모두는 측정 밀도가 증가함에 따라 증가한다. 가장 임계적인 피처를 갖는 영역, 즉 가장 임계적인 영역이 측정되고 있을 때 최고에 있고 덜 임계적인 피처를 갖는 영역, 즉 덜 임계적인 영역이 측정될 때 감소되도록 측정 밀도는 변화될 수 있다. 예를 들어, 가장 임계적인 영역(2706)에서는 단위 면적당 적어도 4번의 측정이 이루어질 수 있으며, 중간의 임계적인 영역(2704)에서는 단위 면적당 적어도 2번의 측정이 이루어질 수 있고, 덜 임계적인 영역(2702)에서는 적어도 한 번의 측정이 이루어질 수 있다.
실시예는 또한 기판의 적어도 일부 상의 피처의 계측 데이터를 획득하기 위한, 허용 최대 측정 시간을 획득하는 것을 포함한다. 결정된 샘플링 스킴 및/또는 FOV 설정에 따라 계측 데이터를 얻기 위해 요구되는 시간이 허용 최대 측정 시간보다 작거나 이와 동일하도록 샘플링 스킴 및/또는 FOV 설정은 획득된 허용 최대 측정 시간에 의존하여 결정된다.
따라서, 본 실시예는 측정 시간의 실질적인 감소를 허용한다. 샘플링 스킴 및/또는 FOV는 이들이 임계 피처의 충분한 측정을 획득하는데 여전히 적합하도록 결정된다.
샘플링 스킴 및/또는 FOV(들)를 결정하기 위해 EPE 임계도 맵을 사용하기 위한 기술은 아래에 더 자세히 설명되어 있다.
EPE 임계도 맵은 기판에 걸친 EPE 허용오차의 변동을 보여준다. 임계값인 허용오차 레벨이 설정되고 설정된 허용오차 레벨 미만의 허용오차로 EPE 임계도 맵의 모든 영역을 측정하거나 잠재적으로 측정하기 위한 결정이 이루어질 수 있다. 예를 들어, 17.25㎜ 이하인 허용 EPE로 기판의 모든 영역만을 측정하거나 잠재적으로 측정하도록 결정이 이루어지도록 허용오차 레벨은 17.25㎚일 수 있다. 17.25㎜보다 큰 허용 EPE로 기판의 모든 영역을 측정하지 않도록 결정이 이루어질 수 있다. 이는 전체 측정 영역을 전체 측정 가능 영역의 약 5%로 줄일 수 있다. 허용오차 레벨은 사용자에 의하여 사전 결정되거나 설정될 수 있다. 허용오차 레벨이 감소함에 따라 측정이 이루어지는 전체 영역은 감소한다.
따라서 사용될 FOV(들)는 가장 임계적인 피처를 포함하는 영역, 즉 가장 낮은 EPE 허용오차를 갖는 영역이 측정되도록 초기에 결정된다. 초기에 결정된 FOV들의 각각에 대해, FOV에서의 임계 피처의 수 및/또는 유형을 증가시키기 위하여 그의 위치 및/또는 크기의 작은 시프트가 이루어질 수 있다.
위에서 설명된 바와 같이, 충분한 정확도로 관심 대상 피처의 EPE 또는 다른 성능 메트릭을 결정하는데 필요한 각 관심 대상 피처에 대한 측정의 발생 횟수에 관한 데이터가 획득되었다. 관심 대상 피처는 단일 피처, 또는 복수의 피처의 배열체를 포함할 수 있다. 관심 대상 피처의 측정의 발생 횟수는 10,000 미만일 수 있다. 그러나 피처의 측정의 발생 횟수는 전형적으로 적어도 10,000이며, 또한 100,000 이상일 수 있다.
샘플링 스킴은 각 관심 대상 피처의 충분한 발생 횟수가 측정되도록 배열된다. FOV들의 각각에 대해, 관심 대상 피처, 즉 임계 피처의 발생 및/또는 유형의 수를 증가시키기 위하여 FOV의 위치 및/또는 크기의 작은 시프트가 이루어질 수 있다.
각 유형의 임계 피처에 대해, FOV들 내에 임계 피처의 발생이 충분하지 않다면, 필요한 만큼 발생 횟수를 증가시키기 위하여 FOV의 수를 증가시키기 위한 결정이 이루어질 수 있다. FOV들의 수는 다이 내의 하나 이상의 부가적인 FOV, 동일한 기판 상의 하나 이상의 추가 다이 내의 및/또는 하나 이상의 추가 기판 상의 하나 이상의 추가 다이 내의 하나 이상의 부가적인 FOV들을 사용함으로써 증가될 수 있다.
각 유형의 임계 피처에 대해, DRAM의 메모리 매트의 FOV에 대해 예상될 수 있는 것과 같이, 둘 이상의 FOV가 임계 피처의 동일한 배열체를 포함하고 있다면, 임계 피처에 대한 요구되는 발생 횟수를 달성하기 위하여 필요한 최소 수보다 실질적으로 많지 않은 FOV를 사용하기로 결정이 이루어질 수 있다.
어느 FOV가 사용되는가의 결정은 FOV가 다이의 충분한 커버리지(coverage)를 제공한다는 것을 보장하기 위한 요구 사항에 의존하여 이루어질 수 있다. 이는 FOV 측정이 수행되지 않는 다이 상의 실질적인 영역이 없다는 것을 보장하기 위한 것이다. 이 요구 조건은 부가적으로 또는 대안적으로, 측정되고 있는 임계 피처의 유형의 요구되는 것보다 많은 최소 발생 횟수를 초래하는 FOV들을 이용함으로써 및/또는 임계 피처를 포함하지 않는 영역의 FOV들을 사용함으로써 충족될 수 있다.
대안적으로, 또는 부가적으로, 필드의 측정이 다이의 모든 실질적인 영역으로부터의 적어도 하나의 측정을 포함하도록 FOV들이 결정될 수 있다. 필드는 복수의 동일한 디자인의 다이를 포함하는 기판의 영역이다. FOV들의 상이한 배열체가 다이들 중 2개 이상에 사용될 수 있다. FOV들의 배열체는 이것이 다이들마다 상이하도록 구성될 수 있다. 모두 동일한 디자인을 가질 수 있는 다이들마다 FOV의 상이한 배열체를 이용함으로써, 단일 다이에 대한 개별 FOV 배열체들 중 어느 것도 다이의 디자인의 각 실질적인 영역에서 FOV를 갖지 않더라도, 적어도 하나의 FOV가 기판에 걸쳐 다이들의 디자인의 각 실질적인 영역에 위치하도록 FOV들은 선택될 수 있다.
위에서 설명된 바와 같이, 다이 내(in-die) 타겟 및 스크라이브-라인 타겟을 포함하는 오버레이 타겟의 위치에 관한 데이터가 획득된다. 본 실시예는 피처의 EPE가 가장 임계적이기 때문에, 즉 EPE 허용오차가 낮기 때문에 사용된 오버레이 타겟이 FOV가 위치된 기판 상의 영역에 가깝도록 사용될 오버레이 타겟을 자동으로 또는 사용자에 의해 수동으로 선택하는 것을 포함한다. 사용된 FOV에 가까운 오버레이 타겟을 사용하는 것은 EPE가 의존적으로 결정되는 FOV에 대한 오버레이 측정의 오차를 감소시킨다.
따라서, 실시예는 전체적으로 기판의 상기 영역의 측정을 획득하는 것보다 더 빠른, 기판의 영역에 대해 샘플링 스킴 및/또는 FOV(들)가 결정되는 것을 허용한다. 실시예는 사용되는 FOV(들)의 크기를 감소시키고 및/또는 사용되는 FOV의 수를 증가시키는 샘플링 스킴을 결정하는 것을 포함한다. 측정 밀도는 모든 FOV에 대해 동일할 수 있으며, 또는 측정 밀도는 각 FOV 내의 피처의 적어도 EPE 임계도에 의존하여 FOV들 사이에서 변경될 수 있다.
본 실시예는 위에서 설명된 기술에 대한 다수의 수정 및 변형을 포함한다.
특히, 디자인 데이터, 즉 레티클 디자인 데이터/GDS 데이터에 더하여 또는 이에 대한 대안으로서, EPE 임계도 맵은 모델링된 및/또는 실제 측정된 데이터에 의존하여 생성될 수 있다.
예를 들어, 결정된 FOV들 중 하나 이상에 대해, 디자인 데이터의 적어도 일부는 층들 중 하나 이상에 대한 리소-제조 점검(litho-manufacturing check)(LMC) 시뮬레이션에 의해 생성된 데이터로 대체될 수 있다. 시뮬레이션은 초점 및 선량 변동의 효과를 포함할 수 있다.
대안적으로 또는 부가적으로, 결정된 FOV들 중 하나 이상에 대해, 디자인 데이터의 적어도 일부는 층들 중 하나 이상에 대한 확률적 EPE(SEPE) 시뮬레이션에 의해 생성된 데이터로 대체될 수 있다.
대안적으로, 또는 부가적으로, 현상 단계, 증착 단계 또는 에칭 단계 (또는 임의의 관련 공정 단계) 중 임의의 단계의 물리적 모델이 사용되어 보다 현실적인 피처 특성, 예를 들어 그의 에지 위치를 결정할 수 있다. 예를 들어 이러한 모델은 (SEM과 같은) 전자 빔 계측 툴에 의하여, 실제로 측정된 피처 레이아웃 데이터로 교정될 수 있다. 예를 들어, 결정된 FOV들 중 하나 이상에 대해, 디자인 데이터의 적어도 일부는 층들 중 하나 이상에 대한 기존 측정의 스택형 윤곽 플롯에 의해 생성되는 데이터로 대체될 수 있다. 예를 들어, 제1 층의 측정된 스택형 윤곽 플롯은 제2 층의 GDS 데이터와 함께 사용되어 제2 층에 대한 허용오차, 메트릭 및/또는 제어 매개변수를 결정할 수 있다.
대안적으로 또는 부가적으로, 결정된 FOV들 중 하나 이상에 대해, 층들 중 하나 이상에서 소스 마스크 최적화(SMO) 시뮬레이션이 수행되어 소스 또는 파면에 대한 보정이 하나 이상의 공정을 개선하기 위해 이루어질 수 있는지를 결정할 수 있다.
시뮬레이션된 데이터는 실시예에 따른 축소된 크기의 FOV 내의 데이터에 대해서만 생성될 필요가 있으며, 따라서 시뮬레이션 시간은 더 큰 FOV에 대한 시뮬레이션을 수행하기 위해 요구되는 시간보다 상당히 빠르다.
실시예가 EPE 임계도 맵의 생성을 참조하여 대부분 설명되었지만, 실시예는 보다 전반적으로 샘플링 스킴 및/또는 FOV(들)를 결정하기 위해, 임계도 맵을 생성하는 것, 및 임계도 맵을 이용하는 것이 포함하며, 임계도 맵은 다수의 메트릭 중 임의의 것을 기반으로 한다. 예를 들어, 실시예는 EPE 임계도 맵 대신 오버레이 또는 LWR 임계도 맵을 생성하는 것 및 이를 사용하는 것을 포함한다. 실시예에 따른 임계도 맵은 영역에 걸쳐 EPE와 같은 공정 마진의 변동의 표시를 제공하기 때문에 공정 마진 분포로 지칭될 수 있다.
도 28은 실시예에 따른 구성 가능한 FOV를 갖는 검사 도구에 대한 관측 시야, FOV 설정을 결정하기 위한 공정의 흐름도이다.
단계 2801에서, 공정이 시작된다.
단계 2803에서, 기판의 적어도 일부 상의 피처의 공정 마진 분포가 획득된다.
단계 2805에서, 임계값이 획득된다.
단계 2807에서, 기판의 적어도 일부 상의 하나 이상의 영역은 획득된 프로세스 마진 분포 및 임계값에 의존하여 식별된다.
단계 2809에서, FOV 설정은 식별된 하나 이상의 영역에 의존하여 결정된다.
단계 2811에서, 공정은 종료된다.
본 발명의 추가 실시예가 아래의 번호가 부여된 조항의 목록에서 개시된다:
1. 구성 가능한 관측 시야(field of view)(FOV)를 갖는 검사 툴에 대한 FOV 설정을 결정하는 방법은,
기판의 적어도 일부 상의 피처의 공정 마진 분포를 획득하는 것;
임계값을 획득하는 것;
획득된 공정 마진 분포 및 임계값에 의존하여, 기판의 적어도 일부 상의 하나 이상의 영역을 식별하는 것; 및
식별된 하나 이상의 영역에 의존하여 FOV 설정을 결정하는 것을 포함한다.
2, 조항 1에 따른 방법에서, 본 방법은 샘플링 스킴을 결정하는 것을 포함하며, 샘플링 스킴을 결정하는 것은,
샘플링 스킴 및 FOV 설정을 공동 결정하는 것;
FOV 설정에 의존하여 샘플링 스킴을 결정하는 것;
샘플링 스킴에 의존하여 FOV 설정을 결정하는 것;
공정 마진 분포에 의존하여 샘플링 스킴을 결정하는 것;
임계값에 의존하여 샘플링 스킴을 결정하는 것; 및
식별된 하나 이상의 영역에 의존하여 샘플링 스킴을 결정하는 것 중 하나 이상을 포함한다.
3. 조항 2에 따른 방법에서, 샘플링 스킴을 결정하는 것은;
사용되는 FOV들의 수;
각 FOV의 위치;
각 FOV의 크기; 및
각 FOV에 의하여 획득된 이미지로 수행되는 측정의 밀도 중 하나 이상을 결정하는 것을 포함한다.
3. 조항 1 및 2 중 어느 한 조항에 따른 방법에서, FOV 설정을 결정하는 것은 FOV들의 수, 각 FOV의 크기 및/또는 각 FOV의 위치를 결정하는 것을 포함한다.
4. 조항 1 내지 3 중 어느 한 조항에 따른 방법에서, 각 FOV의 크기는 각 FOV의 위치에서 구성 가능하며; 그리고 결정은 FOV의 둘 이상의 크기를 이용하도록 이루어진다.
5. 조항 1 내지 4 중 어느 한 조항의 방법에서, FOV 설정 및/또는 샘플링 스킴은 기판의 적어도 일부의 하나 이상의 영역에 대한 샘플링 스킴의 구현과 연관된 예상 측정 시간에 더 의존하여 결정되며, 따라서 결정된 샘플링 스킴은 기판의 적어도 일부 상의 모든 피처를 측정하는 샘플링 스킴보다 더 적은 전체 측정 시간을 제공하게 된다.
6. 조항 1 내지 5 중 어느 한 조항의 방법에서, 공정 마진 분포는 기판의 적어도 일부 상의 피처에 대한 메트릭의 허용오차 레벨의 변동에 관한 데이터를 포함하며; 기판의 적어도 일부 상의 식별된 하나 이상의 영역은 공정 마진 분포로 구성된 허용오차 레벨이 임계값보다 작은 영역이다.
7. 조항 1 내지 6 중 어느 한 조항의 방법에서, 결정된 FOV 설정 및/또는 샘플링 스킴은 적어도 하나의 피처에 대한 메트릭을 결정하기 위하여 요구되는 적어도 하나의 피처의 결정된 최소 발생 횟수에 의존적이다.
8. 조항 1 내지 7 중 어느 한 조항의 방법에서, FOV 설정 및/또는 샘플링 스킴을 결정하는 것은 적어도 하나의 피처의 최소 발생 횟수를 달성하기 위하여 FOV들의 수를 증가시키도록 결정하는 것을 포함한다.
9. 조항 1 내지 8 중 어느 한 조항의 방법에서, FOV 설정 및/또는 샘플링 스킴을 결정하는 것은 적어도 하나의 피처의 최소 발생 횟수를 달성하기 위하여 요구되는 것보다 상당히 더 많은 FOV들을 사용하는 것을 피하기 위하여 FOV들의 수를 감소시키도록 결정하는 것을 포함한다.
10. 조항 1 내지 9 중 어느 한 조항의 방법은 기판의 적어도 일부 상의 피처의 계측 데이터를 획득하기 위하여, 허용 최대 측정 시간을 획득하는 것을 더 포함하며; 여기서 FOV 설정 및/또는 샘플링 스킴은 결정된 FOV 설정 및/또는 샘플링 스킴에 따라 측정을 얻기 위하여 요구되는 시간이 허용 최대 측정 시간보다 작거나 같도록, 획득된 허용 최대 측정 시간에 의존하여 결정된다.
11. 조항 1 내지 10 중 어느 한 조항의 방법에서, 공정 마진 분포는 피처에 대한 메트릭의 값을 포함하며; 메트릭은 에지 배치 오차(EPE); 오버레이 국부 오버레이(LOVL); 또는 국부 임계 치수 균일성(LCDU)이다.
12. 조항 1 내지 11 중 어느 한 조항의 방법에서, 공정 마진 분포는 EPE 임계도 맵이다.
13. 조항 1 내지 12 중 어느 한 조항의 방법에서, 검사 툴은 e-빔 기반 검사 툴 또는 광학 검사 툴이다.
14. 조항 1 내지 13 중 어느 한 조항의 방법에서, 검사 툴은 계측 장치로 구성된다.
15. 조항 1 내지 14 중 어느 한 조항의 방법에서, 공정 마진 분포는 기판 상의 하나 이상의 층의 디자인 데이터에 의존적이다.
16. 조항 16의 방법에서, 기판 상의 하나 이상의 층의 상기 디자인 데이터는 기판 상의 하나 이상의 층의 처리에 사용되는 하나 이상의 마스크의 디자인 데이터에 의존적이다.
17. 조항 1 내지 16 중 어느 한 조항의 방법에서, 공정 마진 분포는 하나 이상의 층에 대한 공정의 모델링 단계에 의존적이다.
18. 조항 18의 방법에서, 모델링 단계는 마스크의 노광 후에 형성되는 실제 디바이스 피처의 하나 이상의 특성을 예측하는 물리적 모델의 사용 및 마스크의 노광 후에 수행되는 하나 이상의 추가 공정 단계를 포함한다.
19. 조항 1 내지 18 중 어느 한 조항의 방법에서, 공정 마진 분포는 하나 이상의 층에 관한 실제 측정 데이터에 의존적이다.
20. 조항 1 내지 19 중 어느 한 조항의 방법에서, 임계값은 제조 공정이 기판의 상기 적어도 일부에 기능적 디바이스를 생성하는 최소 요구 확률과 같은 공정 수율 요구 조건을 기반으로 한다.
21. 조항 1 내지 20 중 어느 한 조항의 방법에서, FOV는 0.1㎛×0.1㎛ 내지 40㎛×40㎛ 범위에 있다.
22. 기판의 적어도 일부분 상의 피처의 계측 데이터를 획득하는 방법은;
어느 한 조항의 방법에 따라 검사 도구에 대한 샘플링 스킴 및/또는 관측 시야(FOV) 설정을 결정하는 것;
기판의 적어도 일부 상의 각각의 하나 이상의 영역의 하나 이상의 이미지를 획득하기 위해, 결정된 샘플링 스킴 및/또는 FOV 설정을 이용하는 것; 및
획득된 하나 이상의 이미지에 의존하여 기판의 적어도 일부 상의 피처의 계측 데이터를 결정하는 것을 포함한다.
23. 컴퓨팅 시스템과 검사 툴에서,
컴퓨팅 시스템은 조항 1 내지 22 중 어느 한 조항의 방법에 따라 검사 툴에 대한 샘플링 스킴 및/또는 관측 시야(FOV) 설정을 결정하도록 배열되며;
검사 툴은 결정된 샘플링 스킴 및/또는 FOV 설정에 의존하여 기판의 적어도 일부의 하나 이상의 영역의 이미지를 획득하도록 배열된다.
24. 계측 장치는 조항 24에 따른 컴퓨팅 시스템과 검사 툴을 포함한다.
25. 비일시적 컴퓨터-판독 가능한 매체는, 실행될 때 컴퓨팅 시스템이 조항 1 내지 22 중 어느 한 조항의 방법을 수행하게 하는 명령어를 포함한다.
실시예에 따른 시스템은 컴퓨팅 시스템 및 전자 빔 장치를 포함할 수 있으며, 여기서 전자 빔 장치는 하나 이상의 기판의 이미지를 획득하도록 배열된다. 시스템은 리소그래피 장치 및/또는 계측 장치를 포함할 수 있다.
본 발명의 다른 실시예는 본 명세서에 개시된 실시예의 명세서 및 실시를 고려함으로써 본 기술 분야의 숙련된 자에게 명백할 것이다. 명세서 및 실시예는 단지 예시적인 것으로 간주되어야 하며 본 발명의 진정한 범위 및 사상은 다음 청구범위에 의해 나타내어진다는 점이 의도된다. 또한, 본 출원이 특정 순서로 방법 또는 절차의 단계들을 열한 경우, 일부 단계가 수행되는 순서를 변경하는 것이 가능하거나, 심지어 특정 상황에서 편리할 수 있으며, 아래에서 제시되는 방법 또는 절차 청구항의 특정 단계는 이러한 순서 특정성이 청구범위에서 명시적으로 언급되지 않는 한 순서-특정적인 것으로 해석되지 않는다는 점이 의도된다.

Claims (15)

  1. 구성 가능한 관측 시야(field of view)(FOV)를 갖는 검사 툴에 대한 FOV 설정을 결정하는 방법에 있어서,
    기판의 적어도 일부 상의 피처의 공정 마진 분포를 획득하는 것;
    임계값을 획득하는 것;
    상기 획득된 공정 마진 분포 및 상기 임계값에 의존하여, 상기 기판의 적어도 일부 상의 하나 이상의 영역을 식별하는 것; 및
    상기 식별된 하나 이상의 영역에 의존하여 FOV 설정을 결정하는 것을 포함하는 FOV 설정 결정 방법.
  2. 제1항에 있어서, 상기 방법은 샘플링 스킴을 결정하는 것을 포함하며, 상기 샘플링 스킴을 결정하는 것은,
    상기 샘플링 스킴 및 FOV 설정을 공동 결정하는 것;
    상기 FOV 설정에 의존하여 상기 샘플링 스킴을 결정하는 것;
    상기 샘플링 스킴에 의존하여 상기 FOV 설정을 결정하는 것;
    상기 공정 마진 분포에 의존하여 상기 샘플링 스킴을 결정하는 것;
    상기 임계값에 의존하여 상기 샘플링 스킴을 결정하는 것; 및
    상기 식별된 하나 이상의 영역에 의존하여 상기 샘플링 스킴을 결정하는 것
    중 하나 이상을 포함하는 FOV 설정 결정 방법
  3. 제2항에 있어서, 샘플링 스킴을 결정하는 것은;
    사용되는 FOV들의 수;
    각 FOV의 위치;
    각 FOV의 크기; 및
    각 FOV에 의하여 획득된 이미지로 수행되는 측정의 밀도
    중 하나 이상을 결정하는 것을 포함하는 FOV 설정 결정 방법.
  4. 제1항에 있어서, FOV 설정을 결정하는 것은 FOV들의 수, 각 FOV의 크기 및/또는 각 FOV의 위치를 결정하는 것을 포함하는 FOV 설정 결정 방법.
  5. 제1항에 있어서, 각 FOV의 크기는 각 FOV의 위치에서 구성 가능하며; 결정은 FOV의 둘 이상의 크기를 이용하도록 이루어지는 FOV 설정 결정 방법.
  6. 제1항에 있어서, 상기 FOV 설정 및/또는 샘플링 스킴은 상기 기판의 상기 적어도 일부의 하나 이상의 영역에 대한 샘플링 스킴의 구현과 연관된 예상 측정 시간에 더 의존하여 결정되어, 상기 결정된 샘플링 스킴은 상기 기판의 상기 적어도 일부 상의 모든 피처를 측정하는 샘플링 스킴보다 더 적은 전체 측정 시간을 제공하게 되는 FOV 설정 결정 방법.
  7. 제1항에 있어서, 상기 공정 마진 분포는 상기 기판의 적어도 일부 상의 피처에 대한 메트릭의 허용오차 레벨의 변동에 관한 데이터를 포함하며; 상기 기판의 상기 적어도 일부 상의 상기 식별된 하나 이상의 영역은 상기 공정 마진 분포로 구성된 허용오차 레벨이 상기 임계값보다 작은 영역인 FOV 설정 결정 방법.
  8. 제1항에 있어서, 상기 결정된 FOV 설정 및/또는 샘플링 스킴은, 적어도 하나의 피처에 대한 메트릭을 결정하기 위하여 요구되는 상기 적어도 하나의 피처의 결정된 최소 발생 횟수에 의존하는, FOV 설정 결정 방법.
  9. 제1항에 있어서, 상기 FOV 설정 및/또는 샘플링 스킴을 결정하는 것은 적어도 하나의 피처의 최소 발생 횟수를 달성하기 위하여 FOV들의 수를 증가시키도록 결정하는 것을 포함하는 FOV 설정 결정 방법.
  10. 제1항에 있어서, 상기 FOV 설정 및/또는 샘플링 스킴을 결정하는 것은 적어도 하나의 피처의 최소 발생 횟수를 달성하기 위하여 요구되는 것보다 상당히 더 많은 FOV들을 사용하는 것을 피하기 위하여 FOV들의 수를 감소시키도록 결정하는 것을 포함하는 FOV 설정 결정 방법.
  11. 제1항에 있어서, 기판의 적어도 일부 상의 피처의 계측 데이터를 획득하기 위하여, 허용 최대 측정 시간을 획득하는 것을 더 포함하며; 상기 FOV 설정 및/또는 샘플링 스킴은, 상기 결정된 FOV 설정 및/또는 샘플링 스킴에 따라 측정을 얻기 위하여 요구되는 시간이 상기 허용 최대 측정 시간보다 작거나 같도록, 획득된 허용 최대 측정 시간에 의존하여 결정되는 FOV 설정 결정 방법.
  12. 제1항에 있어서, 상기 공정 마진 분포는 상기 피처에 대한 메트릭의 값을 포함하며, 상기 메트릭은 에지 배치 오차(EPE); 오버레이 국부 오버레이(LOVL); 또는 국부 임계 치수 균일성(LCDU)인 FOV 설정 결정 방법.
  13. 제1항에 있어서, 상기 검사 툴은 e-빔 기반 검사 툴인 FOV 설정 결정 방법.
  14. 제1항의 방법에 따라, 검사 툴에 대한 샘플링 스킴 및/또는 관측 시야(FOV) 설정을 결정하도록 배열된 컴퓨팅 시스템.
  15. 실행될 때 컴퓨팅 시스템이 제1항의 방법을 수행하게 하는 명령어를 포함하는 비일시적 컴퓨터-판독 가능한 매체.
KR1020227011786A 2019-10-08 2020-09-03 관측 시야 설정을 결정하는 방법 KR20220054441A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP19201911.5 2019-10-08
EP19201911 2019-10-08
EP19209797.0 2019-11-18
EP19209797.0A EP3822703A1 (en) 2019-11-18 2019-11-18 Method for determining a field-of-view setting
PCT/EP2020/074605 WO2021069153A1 (en) 2019-10-08 2020-09-03 Method for determining a field-of-view setting

Publications (1)

Publication Number Publication Date
KR20220054441A true KR20220054441A (ko) 2022-05-02

Family

ID=72340365

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227011786A KR20220054441A (ko) 2019-10-08 2020-09-03 관측 시야 설정을 결정하는 방법

Country Status (7)

Country Link
US (1) US20230023153A1 (ko)
EP (1) EP4042244A1 (ko)
KR (1) KR20220054441A (ko)
CN (1) CN114514476A (ko)
IL (1) IL291367B1 (ko)
TW (2) TWI752652B (ko)
WO (1) WO2021069153A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023156182A1 (en) * 2022-02-21 2023-08-24 Asml Netherlands B.V. Field of view selection for metrology associated with semiconductor manufacturing
WO2024046691A1 (en) * 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
EP1928583A4 (en) * 2005-09-01 2010-02-03 Camtek Ltd METHOD AND SYSTEM FOR ESTABLISHING A TEST PROCEDURE
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
JP4812470B2 (ja) * 2006-03-08 2011-11-09 ルネサスエレクトロニクス株式会社 フォトマスクおよび露光方法
JP5408852B2 (ja) * 2007-08-09 2014-02-05 株式会社日立ハイテクノロジーズ パターン測定装置
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
JP2011023638A (ja) * 2009-07-17 2011-02-03 Toshiba Corp 検査領域設定方法
JP5460662B2 (ja) * 2011-09-07 2014-04-02 株式会社日立ハイテクノロジーズ 領域決定装置、観察装置または検査装置、領域決定方法および領域決定方法を用いた観察方法または検査方法
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
CN103163442B (zh) * 2013-03-22 2015-05-27 无锡中星微电子有限公司 一种晶圆测试方法
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
CN114690591A (zh) * 2016-12-23 2022-07-01 Asml荷兰有限公司 计算机程序产品和形貌确定方法
US10551827B2 (en) * 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
KR102499036B1 (ko) * 2017-09-22 2023-02-13 삼성전자주식회사 임계 치수 측정 시스템 및 임계 치수 측정 방법
US10269602B1 (en) * 2017-11-28 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Wafer warpage inspection system and method using the same

Also Published As

Publication number Publication date
TW202119137A (zh) 2021-05-16
US20230023153A1 (en) 2023-01-26
IL291367B1 (en) 2024-06-01
WO2021069153A1 (en) 2021-04-15
TWI752652B (zh) 2022-01-11
TW202215168A (zh) 2022-04-16
IL291367A (en) 2022-05-01
EP4042244A1 (en) 2022-08-17
CN114514476A (zh) 2022-05-17

Similar Documents

Publication Publication Date Title
US11768442B2 (en) Method of determining control parameters of a device manufacturing process
US10394131B2 (en) Image log slope (ILS) optimization
KR102621036B1 (ko) 디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템
KR20130082110A (ko) 확률적 효과들을 감소시키기 위한 소스 마스크 최적화
TWI620033B (zh) 判定光阻變形之方法
US11860548B2 (en) Method for characterizing a manufacturing process of semiconductor devices
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
EP3822703A1 (en) Method for determining a field-of-view setting
NL2025146A (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method