CN108885402B - 选择性SiARC去除 - Google Patents

选择性SiARC去除 Download PDF

Info

Publication number
CN108885402B
CN108885402B CN201780019678.6A CN201780019678A CN108885402B CN 108885402 B CN108885402 B CN 108885402B CN 201780019678 A CN201780019678 A CN 201780019678A CN 108885402 B CN108885402 B CN 108885402B
Authority
CN
China
Prior art keywords
layer
siarc
substrate
pattern transfer
removal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780019678.6A
Other languages
English (en)
Other versions
CN108885402A (zh
Inventor
希亚姆·斯里达尔
王立
安德鲁·诺兰
浩人大竹
谢尔盖·沃罗宁
阿洛克·兰詹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN108885402A publication Critical patent/CN108885402A/zh
Application granted granted Critical
Publication of CN108885402B publication Critical patent/CN108885402B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/47Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述了用于选择性硅抗反射涂层(SiARC)去除的方法和***。方法的实施方式包括在处理室中设置基板,基板包括:抗蚀剂层、SiARC层、图案转移层和底层。这样的方法还可以包括执行图案转移处理,图案转移处理被配置成去除抗蚀剂层并且在基板上创建结构,结构包括图案转移层和SiARC层的一部分。方法还可以包括对结构的SiARC层执行修改处理,该修改将SiARC层转变成多孔SiARC层。此外,方法可以包括执行结构的多孔SiARC层的去除处理,其中,SiARC层的修改处理和去除处理被配置成满足目标集成目的。

Description

选择性SiARC去除
技术领域
本发明涉及用于基板处理的***和方法,并且更具体地涉及在不损害底层膜的情况下选择性地去除硅抗反射涂层(SiARC)的***和方法。
背景技术
使用辐射敏感材料(本文中也被称为“抗蚀剂”)的光刻工艺被广泛用于半导体器件和其他图案化结构的制造。在用于半导体器件制造的跟踪光刻处理中,可以按顺序执行如下类型的处理:在半导体晶片上涂布光致抗蚀剂溶液以形成光致抗蚀剂膜的光致抗蚀剂涂布;固化涂布的光致抗蚀剂膜的加热处理;在光致抗蚀剂膜上曝光预定图案的曝光处理;促进曝光之后光致抗蚀剂膜内的化学反应的加热处理;显影经曝光的光致抗蚀剂膜且形成光致抗蚀剂图案的显影处理;使用光致抗蚀剂图案在底层或基板中蚀刻精细图案等。
在光刻处理中,可以在形成光致抗蚀剂图案之前在要蚀刻的层上沉积有机或无机抗反射涂层(ARC)的层。ARC层可以用于在通过曝光处理在ARC层上形成光致抗蚀剂图案时降低光从要蚀刻的层的反射。例如,ARC层可以防止由于朝向光致抗蚀剂膜的入射光与来自要蚀刻的层的反射光之间的干涉而引起的驻波效应。
已经开发了先进的有机和无机ARC层以用于增加的特征密度,这提高了要制造的微电子器件的成本功能比。由于朝着越来越小的特征的趋势持续,这些非常小的特征的制造中的若干新问题变得明显。含硅ARC(SiARC)层是用于硬掩模的有希望的候选,原因是SiARC层的Si含量可以被调节以向光致抗蚀剂提供高的蚀刻选择性。遗憾的是,用于先进ARC层例如SiARC层的许多新材料的去除可能存在问题,并且针对微电子器件生产需要用于去除这些材料和其他层的新的处理方法。
发明内容
描述了用于选择性SiARC去除的方法和***。方法的实施方式包括在处理室中设置基板,该基板包括:抗蚀剂层、SiARC层、图案转移层和底层。这样的方法还可以包括执行图案转移处理,图案转移处理被配置成去除抗蚀剂层并且在基板上创建结构,该结构包括图案转移层和SiARC层的一部分。该方法还可以包括对结构的SiARC层执行修改处理,该修改将SiARC层转变成多孔SiARC层。此外,该方法可以包括执行结构的多孔SiARC层的去除处理,其中,SiARC层的修改处理和去除处理被配置成满足目标集成目的。
方法的另一实施方式可以包括在处理室中设置基板,该基板包括:抗蚀剂层、硅基层、图案转移层和底层。该方法还可以包括执行图案转移处理,图案转移处理被配置成去除抗蚀剂层并且在基板上创建结构,该结构包括图案转移层和硅基层的一部分。另外,该方法可以包括对结构的硅基层执行修改处理,该修改被配置成改变硅基层的化学和/或物理特性以实现硅基层与图案转移层和/或底层相比的高蚀刻选择性。此外,该方法可以包括执行结构的硅基层的去除处理,其中,硅基层的修改处理和去除处理被配置成满足目标集成目的。
方法的另一实施方式包括在处理室中设置基板。在实施方式中,基板包括抗蚀剂层、硅氮氧化物或SiARC层、光学平坦化层(OPL)或有机层以及目标图案化层。另外,该方法可以包括执行SiARC开口处理,该SiARC开口处理在基板上创建具有临界尺寸(CD)的结构。该方法还可以包括执行SiARC层的氮化处理,该氮化产生富氮的SiARC层。在替选实施方式中,氧化处理可以用于对SiARC层进行修改,由此产生多孔SiARC层。另外,该方法可以包括执行对经修改的SiARC层的选择性蚀刻处理。在这样的实施方式中,选择性蚀刻处理呈现出对基板中的器件级结构的高敏感性,并且集成工艺被配置成满足目标集成目的。
方法的另一实施方式可以包括在处理室中设置基板,该基板包括:抗蚀剂层、硅基层、图案转移层和底层。这样的方法还可以包括执行图案转移处理,图案转移处理被配置成去除抗蚀剂层并且在基板上创建结构,该结构包括图案转移层和硅基层的一部分。另外,该方法可以包括对结构的硅基层执行修改处理,该修改被配置成改变硅基层的化学和/或物理特性以实现硅基层与图案转移层和/或底层相比的高蚀刻选择性。该方法还可以包括执行结构的硅基层的去除处理,其中,硅基层的修改处理和去除处理被配置成满足目标集成目的。
在实施方式中,硅基层是硅抗反射涂层(SiARC),并且图案转移层包括碳硬掩模(CHM)层、有机介电层(ODL)、非晶硅层和/或氧化物层。在一个实施方式中,修改处理使用氧等离子体、氢等离子体或氮等离子体。硅基层的去除处理可以使用干蚀刻处理步骤、湿蚀刻处理步骤、干蚀刻处理步骤和湿蚀刻处理步骤的组合或第一干蚀刻处理步骤和第二干蚀刻处理步骤的组合。
附图说明
附图示出本发明的实施方式,并且与以上给出的本发明的一般描述以及以下给出的详细描述一起用于描述本发明,附图被并入且构成本说明书的一部分。
图1示出被配置成用于在基板上形成三层光致抗蚀剂堆叠的涂布***的实施方式。
图2A示出用于在基板上形成三层光致抗蚀剂堆叠的工艺的实施方式。
图2B示出用于在基板上形成三层光致抗蚀剂堆叠的工艺的实施方式。
图2C示出用于在基板上形成三层光致抗蚀剂堆叠的工艺的实施方式。
图2D示出用于在基板上形成三层光致抗蚀剂堆叠的工艺的实施方式。
图3示出等离子体蚀刻***的实施方式。
图4示出用于选择性SiARC去除的***的实施方式。
图5示出湿清洁/蚀刻***的实施方式。
图6A示出用于选择性SiARC去除的工艺的实施方式。
图6B示出用于选择性SiARC去除的工艺的实施方式。
图6C示出用于选择性SiARC去除的工艺的实施方式。
图6D示出用于选择性SiARC去除的工艺的实施方式。
图7A示出用于选择性SiARC去除的工艺的示例。
图7B示出用于选择性SiARC去除的工艺的示例。
图7C示出用于选择性SiARC去除的工艺的示例。
图8示出用于选择性SiARC去除的方法的实施方式的流程图。
图9是示出三层光致抗蚀剂堆叠和底层的一个实施方式的截面图。
图10示出SiARC层的不完整去除的示例。
图11A示出用于选择性SiARC去除的工艺的示例。
图11B示出用于选择性SiARC去除的工艺的示例。
图11C示出用于选择性SiARC去除的工艺的示例。
图11D示出用于选择性SiARC去除的工艺的示例。
图12示出在氧等离子体处理之前SiARC的原子组成。
图13示出在氧等离子体处理之后SiARC的原子组成。
图14示出SiARC去除工艺的一个实施方式的实验结果。
图15示出SiARC去除工艺的一个实施方式的实验结果。
图16示出SiARC去除工艺的一个实施方式的实验结果。
图17示出SiARC去除工艺的一个实施方式的实验结果。
图18示出具有根据用于选择性SiARC去除的方法制造的部件的器件的实施方式。
即使在被讨论之前,包含在说明书中的编号元件也将参照列表中的附图,并且在讨论后面的附图时,也可以被参照。例如,图2中描绘的附图标记可以用于图6A至图7C的描述中,尽管该附图标记未在图6A至图7C中具体地示出。
具体实施方式
提出了用于选择性SiARC去除的***和方法。然而,相关领域的技术人员将认识到,可以在没有一个或更多个具体细节的情况下或者在其他替代物和/或另外的方法、材料或部件下实践各种实施方式。在其他情况下,已知的结构、材料或操作未详细地被示出或描述以避免使本发明的各种实施方式的方面模糊。
类似地,为了说明的目的,阐述了具体的数目、材料和配置,以便提供对本发明的透彻理解。然而,可以在没有具体细节的情况下实践本发明。此外,应理解的是,附图中示出的各种实施方式是说明性代表并且不一定按比例绘制。在参考附图时,相似的附图标记始终指代相似的部件。
遍及说明书,对“一个实施方式”或“实施方式”或其变化的参考意指结合实施方式描述的具体特征、结构、材料或特性被包括在本发明的至少一个实施方式中,但不意味着其存在于每个实施方式中。因此,遍及本说明书,在不同地方出现短语例如“在一个实施方式中”或“在实施方式中”不一定指本发明的相同的实施方式。此外,在一个或更多个实施方式中可以以任何合适的方式来组合具体的特征、结构、材料或特性。可以包括各种附加的层和/或结构,以及/或者可以在其他实施方式中省略所描述的特征。
另外,将理解的是,除非另有明确说明,“一”(a)或“一个”(an)可以意指“一个或更多个”。
各种操作将依次以最有助于理解本发明的方式被描述为多个分立的操作。然而,描述的顺序不应被理解为暗示这些操作必定依赖于顺序。具体地,这些操作无需以呈现的顺序来执行。与描述的实施方式相比,可以以不同的顺序来执行所描述的操作。可以执行各种附加的操作和/或可以在附加的实施方式中省略所描述的操作。
如在本文中所使用的,术语“基板”意指且包括在其上形成材料的基底材料或构造。将理解的是,基板可以包括单一材料、不同材料的多个层、内部具有不同材料或不同结构的区域的一个或多个层等。这些材料可以包括半导体、绝缘体、导体或其组合。例如,基板可以是半导体基板、在支承结构上的基底半导体层、金属电极或上面形成有一个或更多个层、结构或区域的半导体基板。基板可以是常规的硅基板或包括半导体材料层的其他体基板。如在本文中所使用的,术语“体基板”不但意指且包括硅晶片,而且还意指且包括绝缘层上硅(“SOI”)基板例如蓝宝石上硅(“SOS”)基板和玻璃上硅(“SOG”)基板、基底半导体基础上的硅外延层以及其他半导体或光电材料例如硅-锗、锗、镓砷、镓氮和铟磷。基板可以是掺杂或未掺杂的。
描述了用于选择性SiARC去除的方法和***。方法的实施方式包括在处理室中设置基板,该基板包括:抗蚀剂层、SiARC层、图案转移层和底层。这样的方法还可以包括执行图案转移处理,该图案转移处理被配置成去除抗蚀剂层并且在基板上创建结构,该结构包括图案转移层和SiARC层的一部分。方法还可以包括对结构的SiARC层执行修改处理,该修改将SiARC层转变为修改后的SiARC层。此外,方法可以包括执行结构的修改后的SiARC层的去除处理,其中SiARC层的修改和去除处理被配置成满足目标集成目的。
方法的另一实施方式可以包括在处理室中设置基板,该基板包括:抗蚀剂层、硅基层、图案转移层和底层。方法还可以包括执行图案转移处理,该图案转移处理被配置成去除抗蚀剂层并且在基板上创建结构,该结构包括图案转移层和硅基层的一部分。另外,方法可以包括对结构的硅基层执行修改处理,该修改被配置成改变硅基层的化学和/或物理特性以实现硅基层与图案转移层和/或底层相比的高蚀刻选择性。此外,方法可以包括执行结构的硅基层的去除处理,其中硅基层的修改和去除处理被配置成满足目标集成目的。
在实施方式中,选择性蚀刻处理是干蚀刻处理。表面修改处理可以使用H2N2等离子体。在另一实施方式中,干蚀刻处理使用O2等离子体。在另一实施方式中,选择性蚀刻处理是湿蚀刻处理。在这样的实施方式中,湿蚀刻使用稀释的HF/HCI。
在一个实施方式中,根据注入目标的能量和深度来优化在SiARC中注入氮原子。对氮化处理的优化可以导致在集成工艺之后较少的残留物。
在实施方式中,方法包括控制集成序列的一个或更多个操作变量以满足目标集成目的。在这样的实施方式中,一个或更多个操作变量包括等离子体密度、N2供气流量和蚀刻时间。一个或更多个操作变量还可以包括处理压力、处理温度以及偏置功率。在实施方式中,等离子体密度是源功率的函数。目标集成目的可以包括残留物的去除百分比、对基板上的器件级结构的损伤程度和/或对OPL或有机层的损伤程度。
在各种实施方式中,处理压力在5毫托至300毫托的范围内,并且蚀刻时间是5秒至600秒。处理温度可以在-10摄氏度至+80摄氏度的范围内,对于N2/H2,供气流量在10sccm至2000sccm的范围内。源功率可以在100W至3000W的范围内,并且偏置功率在100W至3000W的范围内。最大离子能量可以在100eV至2000eV的范围内。尽管这些范围作为实施方式被提供,但是根据使用的材料和气体混合物,普通技术人员之一将认识到可能有用或更有用的替选范围。在一个实施方式中,氧氮氧化物是SiONx,其中x是大于零的数。
在实施方式中,一个或更多个操作变量包括注入氮离子的注量,并且其中注入氮离子的注量是等离子体鞘层(plasma sheath)处的注入长度和离子通量的函数。
氮离子渗透的深度可以是离子能量的函数,并且其中离子能量是偏置功率和处理室中的压力的函数。在实施方式中,使用控制器来控制膜层或区域去除处理的操作变量中的选择的两个或更多个操作变量,以便满足层或区域去除处理目的。
现在参照附图,其中遍及若干附图,相似的附图标记指代相同或相应的部件。
图1示出涂布***100,该涂布***100包括:涂布室110;基板保持器120,其耦接至涂布室110并且被配置成支承基板102;以及溶液喷嘴组件130,其被配置成分配溶液例如SiARC抗蚀剂溶液。另外,涂布***100包括控制器150,该控制器150耦接至基板保持器120和溶液喷嘴组件130,并且被配置成与基板保持器120和溶液喷嘴组件130交换数据、信息和控制信号。
在从溶液喷嘴组件130将溶液104分配在基板102的上表面的中央106上的期间,基板保持器120被配置成转动(或旋转)基板102。耦接至基板保持器120的驱动单元122被配置成转动基板保持器120。例如,驱动单元122可以允许设置基板保持器旋转124的转速和加速度。
溶液喷嘴组件130包括位于基本在基板102的中央附近并且在基板102的上表面上方的单个喷嘴132。喷嘴132被配置成在基板102的上表面上沿与基板102的上表面基本垂直的方向分配溶液例如三层光致抗蚀剂膜溶液,该三层光致抗蚀剂膜溶液包括例如有机平坦化层(OPL)、含硅抗反射涂层(SiARC)以及光敏可图案化光致抗蚀剂层。在图2A至图2D中示出用于沉积三层光致抗蚀剂结构的工艺的示例。喷嘴132耦接至控制阀134的出口端136。控制阀134的入口端138耦接至溶液供给***140。控制阀134可以被配置成调节在基板102上分配溶液。当打开时,溶液被分配在基板102上。当关闭时,溶液不被分配在基板102上。溶液供给***140可以包括液体供给阀142、过滤器144和流量测量/控制装置146中至少之一。另外,喷嘴132被配置成:使用平移驱动组件160在径向方向从基板102的中央向基板102的***边缘平移,同时分配溶液,如喷嘴132的重影图像所示。
另外,控制器150包括微处理器、存储器和数字I/O端口(可能包括D/A和/或A/D转换器),其能够产生足以传达和激活对基板保持器120的驱动单元122、溶液喷嘴组件130(例如,第一控制阀134)、溶液供给***140以及平移驱动***160的输入以及监视来自这些***的输出的控制电压。使用储存在存储器中的程序以根据存储的工艺配方与这些***交互。
控制器150可以相对于涂布***100本地定位,或者控制器150可以经由因特网或内联网相对于涂布***100远程定位。因此,控制器150可以使用直接连接、内联网和因特网中至少之一与涂布***100交换数据。控制器150可以耦接至客户站点处(即,器件制造商等)的内联网或者耦接至供应商站点处(即,设备制造商)的内联网。此外,另一计算机(即,控制器、服务器等)可以经由直接连接、内联网和因特网中至少之一访问控制器150以交换数据。
图2A至图2D示出用于在基板102上形成三层抗蚀剂结构的工艺。通常,如图2A中所示,基板102将设置有其中将形成某些特征的中间层202。例如,中间层202可以包括介电材料诸如氧化物层(例如,SiO2)、氮化物层(例如,Si3N4)等。在一些实施方式中,通过在炉或加热室中烘烤晶片来在硅晶片的表面上形成中间层202。在一些实施方式中,蒸气被引入至该室中以形成期望的中间层202。
图2B示出三层抗蚀剂结构的第一层204。例如,第一层204可以是OPL或有机层。在各种实施方式中,第一层204是碳硬掩模(CHM)层、有机介电层(ODL)、非晶硅层和/或氧化物层。在实施方式中,第一层204可以通过图1中所示的涂布***100或类似的***沉积在中间层202上。接下来,可以如图2C中所示在第一层204上沉积第二层206。例如,第二层可以是使用涂布***100旋涂至第一层204上的SiARC层。最后,可以如图2D中所示在第二层206上沉积或涂布第三层208。第三层208可以是使用涂布***100例如图1的***旋涂至第二层206的表面上的光敏可图案化光致抗蚀剂层。
一旦在基板102上形成图2A至图2D的三层结构,则可以进行各种曝光和显影处理。例如,第三层208可以以由图案掩模限定的图案暴露至处于极紫外线(EUV)或紫外线(UV)频率的光。在实施方式中,光致抗蚀剂的第三层可以在如由曝光掩模限定的特定区域中硬化,并且在其他区域中保持未硬化。未示出曝光和显影工具,但是普通技术人员之一将认识到光刻工艺可用的各种可用的曝光和掩模***。
图6A至图6D和图7A至图7C示出用于根据在曝光处理期间由掩模限定的图案蚀刻三层结构的工艺的示例。在一些实施方式中,三层材料可以使用干蚀刻***例如图3的等离子体蚀刻***来蚀刻。在另一实施方式中,三层材料可以使用由如图5中所示的湿刻蚀***分配的湿刻蚀化学制剂来蚀刻。在实施方式中,可以在第二层206的氮化之后使用图3的干蚀刻***或图5的湿蚀刻***来蚀刻第二层206。在图4中更详细地示出可以由图3的***执行的氮化处理的说明。
图3是用于执行蚀刻和后加热处理的***300的实施方式。在另一实施方式中,如参照图4所描述的,***300还可以被配置成用于SiARC层的氮化。在图3中描绘了被配置成执行以上识别的工艺条件的蚀刻和后加热处理***300,该***300包括:处理室310;基板保持器320,在基板保持器320上附接要处理的基板102;以及真空泵***350。基板102可以是半导体基板、晶片、平板显示器或液晶显示器。处理室310可以被配置成有利于蚀刻基板102的表面附近的处理区域345。从气体供给390经由气体分配***340引入可电离气体或工艺气体混合物。对于给定流量的工艺气体,使用真空泵***350来调节处理压力。在实施方式中,工艺气体的至少一种组分包含氮,其可以在由***生成的等离子体场中被离子化。如图4所示,氮离子可以轰击基板102上的第二层206的表面,以有利于第二层206的去除。此外,该处理可以帮助材料从基板102的暴露的表面去除,例如第一层204至第三层208中的任意层。蚀刻处理***300可以被配置成处理任何期望尺寸的基板例如200mm基板、300mm基板或更大的基板。
基板102可以经由夹持***(未示出)例如机械夹持***或电子夹持***(例如,静电夹持***)附接至基板保持器320。此外,基板保持器320可以包括被配置成调节和/或控制基板保持器320和基板102的温度的加热***(未示出)或冷却***(未示出)。加热***或冷却***可以包括传热流体的循环流动,当冷却时该传热流体接收来自基板保持器320的热量并且将热量传递至热交换器***(未示出),当加热时该传热流体将来自热交换器***的热量传递至基板保持器320。在其他实施方式中,加热/冷却元件例如电阻加热元件或热电加热器/冷却器可以包括在基板保持器320和处理室310的室壁以及在处理***300内的任何其他部件中。
另外,可以经由背面气体供给***328来将传热气体输送至基板102的背面以便提高基板102与基板保持器320之间的气隙热导率。当在升高或降低的温度下需要对基板的温度控制时,可以使用这样的***。例如,背面气体供给***可以包括两区域气体分配***,其中气隙压力可以在基板102的中央与边缘之间独立地变化。
在图3中示出的实施方式中,基板保持器320可以包括电极322,RF功率通过电极322耦合至处理区域345。例如,可以经由从RF发生器330通过可选的阻抗匹配网络332至基板保持器320的RF功率传输在RF电压下使基板保持器320电偏置。RF电偏置可以用于加热电子以形成并且维持等离子体。在这种配置中,***300可以作为反应离子蚀刻(RIE)反应器来操作,其中,所述室和上面的气体注入电极用作接地面。另外,RF电偏置可以用于将氮离子引导至第二层206中。用于RF偏置的典型频率可以在约0.1MHz至约80MHz的范围中。用于等离子体处理的RF***是本领域技术人员公知的。
此外,可以使用脉冲偏置信号控制器331对电极322在RF电压下的电偏置进行脉冲调制。例如,可以在关断状态与导通状态之间对来自RF发生器330的RF功率输出进行脉冲调制。替选地,RF功率在多个频率下被施加至基板保持器电极。此外,阻抗匹配网络332可以通过降低反射功率来提高到等离子体处理室310中的等离子体的RF功率的传递。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法是本领域技术人员公知的。
气体分配***340可以包括用于引入工艺气体混合物的喷头设计。替选地,气体分配***340可以包括用于引入工艺气体混合物并且调节基板102上方的工艺气体混合物的分布的多区域喷头设计,该工艺气体混合物包括氮气或含氮的气体例如SiONx,其中x是大于0的数。例如,多区域喷头设计可以被配置成相对于至基板102上方的基本中央区域的工艺气体流量或成分的量来调节至基板102上方的基本周围区域的工艺气体流量或成分。在这样的实施方式中,气体可以以合适的组合被分配以形成室310内的H2N2等离子体。
真空泵***350可以包括能够实现高达约8000升每秒(以及更大)的泵速的涡轮分子真空泵(TMP)和用于调节室压的门阀。在用于干等离子体蚀刻的常规等离子体处理装置中,可以采用800升每秒至3000升每秒的TMP。TMP用于低压处理,典型地低于约50毫托。对于高压处理(即,大于约80毫托),可以使用机械增压泵和干式粗抽泵(dry roughing pump)。此外,用于监测室压的装置(未示出)可以耦接至等离子体处理室310。
如上所述,控制器355可以包括微处理器、存储器和数字I/O端口,其能够产生足以传送和激活对处理***300的输入以及监视来自等离子体处理***300的输出的控制电压。此外,控制器355可以与RF发生器330、脉冲偏置信号控制器331、阻抗匹配网络332、气体分配***340、真空泵***350以及基板加热/冷却***(未示出)、背面气体供给***326和/或静电夹持***328耦接并且交换信息。例如,可以使用储存在存储器中的程序以根据工艺配方激活对处理***300的前述部件的输入,以便对基板102执行等离子体辅助过程例如等离子体蚀刻过程或后加热处理过程。
另外,处理***300还可以包括上电极370,RF功率可以从RF发生器372通过可选的阻抗匹配网络347耦合至该上电极370。在一个实施方式中,将RF功率施加至上电极的频率可以在约0.1MHz至约200MHz的范围内。替选地,可以与电感耦合等离子体(ICP)源、被配置成在GHz频率范围内操作的径向线槽天线(RLSA)源、被配置成在亚GHz至GHz范围内操作的电子回旋共振(ECR)源以及其他结合来使用本实施方式。另外,将功率施加至下电极的频率可以在约0.1MHz至约80MHz的范围内。此外,控制器355耦接至RF发生器372和阻抗匹配网络374,以便控制向上电极370施加RF功率。上电极的设计和实现是本领域技术人员公知的。上电极370和气体分配***340可以如所示的设计在同一室组件内。替选地,上电极370可以包括用于调节耦合至基板102上方的等离子体的RF功率分配的多区域电极设计。例如,上电极370可以被分为中心电极和边缘电极。
根据应用,附加装置例如传感器或计量装置可以耦接至处理室310并且耦接至控制器355,以收集实时数据并且使用这样的实时数据来并行控制包括集成方案的沉积过程、RIE过程、拉伸过程、轮廓重整过程、加热处理过程和/或图案转移过程的两个或更多个步骤中的两个或更多个选择的集成操作变量。此外,可以使用相同的数据以确保实现包括后加热处理的完成、图案均匀性(均匀性)、结构下拉(下拉)、结构减薄(减薄)、结构纵横比(纵横比)、线宽粗糙度、基板产出、拥有成本等的集成目标。
如上所述,参照图3,等离子体***300可以包括上电极370和下电极322,上电极370和下电极322中的每一个可以耦接至电源例如RF发生器372。施加至电极322和电极370的偏置在电极322与电极370之间生成电场,其中生成图4中的电离等离子体场402。在实施方式中,参照图4,电离等离子体场402中的氮离子404可以包括氮离子。替选地,电离等离子体场402可以包括氧离子或氢离子。在一个实施方式中,等离子体场402是H2N2等离子体场。离子404可以通过由电极322的偏置生成的电场来引导至基板102的表面处。在实施方式中,离子404可以以等离子体鞘层406中获得的能量来轰击第二层206。在实施方式中,根据在等离子体鞘层406处的注入长度和离子通量,注入氮离子的注量可以是变化的。在电离等离子体场402中的离子浓度可以通过源功率和气体组分来控制。能量可以通过偏置电势来控制。在实施方式中,离子能量可以限定在第二层206中的穿透深度和离子分布。离子能量可以是处理室310中的压力和偏置功率的函数。在实施方式中,有机层204可以被蚀刻并且同时第二层206可以用氮离子处理。在其他实施方式中,可以在单独的处理中进行第二层206的氮化。
在各种实施方式中,电离等离子体场402可以是用于去除修改后的SiARC的NF3或H2等离子体。在另一实施方式中,HF气体可以用于电离等离子体场402。普通技术人员之一可以认识到根据本实施方式可以适当使用的各种有用的替选蚀刻气体或修改气体。
在实施方式中,可以调整或优化修改处理,使得离子注入深度足以去除所有的SiARC层或几乎所有的SiARC层,而不损伤底层。在这样的实施方式中,优化处理可以导致在集成工艺完成之后较少的残留物。氮化处理可以通过调节处理的各个方面来优化。例如,可以调节氮气浓度以修改注入可用的氮离子的浓度。替选地,可以修改等离子体密度。另外,可以调节蚀刻时间。可以调节包括例如处理温度、处理压力或偏置功率的其他工艺参数。
在一个实施方式中,操作变量可以包括等离子体密度、等离子体离子能量、供气流量、蚀刻时间、蚀刻速率、处理压力、处理温度和偏置功率。一个示例包括控制HF、H2或NF3供气中的任何一个的供气流量。因此,目标集成目的的示例包括:残留物的去除百分比、SiARC的去除百分比、SiARC对氧化物的蚀刻选择性、对基板的结构上的器件的损伤程度和/或对OPL、ODL和/或氧化物层的损伤程度。在一个示例中,目标集成目的是保持SiARC对氧化物的选择性在5:1或更高的范围内。
用于优化的关注的标准是在SiARC残留物的去除百分比和对基板102上的器件级结构的损伤程度和或对OPL或有机层204的损伤程度之间折衷。处理压力可以在5毫托至300毫托的范围内,并且蚀刻时间可以在5秒至600秒的范围内。处理温度可以在-10摄氏度至+80摄氏度的范围内。对于N2/H2气体或气体混合物,供气流量可以在10sccm至2000sccm的范围内。例如,在一个实施方式中,N2流量可以是200sccm至400sccm。类似地,在特定的实施方式中,H2流量可以在200sccm至400sccm的范围内。在这样的实施方式中,压力可以是20毫托至100毫托。源功率可以在100W和3000W的范围内。在实施方式中,偏置功率在100W和3000W的范围内。在另一实施方式中,离子能量在100eV至2000eV的范围内。根据设备参数和工艺需要,普通技术人员之一将认识到其他合适的范围。在实施方式中,这些变量可以通过控制器来控制以便满足层或区域去除处理目的,也被称为集成目的。
在第二层206的氮化之后,第二层206可以通过图3的***中的干蚀刻处理或者通过采用例如弱氢氟酸(HF)稀释液(例如,HF/HCl)或本领域技术人员已知的其他侵蚀性较弱的蚀刻配方的湿清洁/蚀刻来去除。在图5中示出用于湿清洁/蚀刻的***500的示例。在这样的实施方式中,***500包括湿蚀刻室510以包含湿蚀刻化学制剂,该湿蚀刻化学制剂在一些实施方式中可以包括苛性酸(harsh acid)。
在实施方式中,基板102置于室510内旋转的基板保持器512例如板或卡盘上。旋转的基板保持器512可以通过电动底座518以各种旋转速率旋转。在实施方式中,电动底座518可以通过控制器520来控制。另外,控制器520可以控制速率,在该速率下,蚀刻溶液分配器515例如喷嘴或喷头可以分配湿蚀刻化学制剂516例如HF稀释液。可以通过离心力将蚀刻溶液拉至遍及基板102的表面,由此从基板表面去除材料颗粒。蚀刻速率可以由控制器520通过调节旋转速率、分配速率或两者来控制。
在图6A至图6D中示出蚀刻过程的示例。在基板102和布置在基板102上的层204至层208的图案化曝光之后,可以使用图3的干蚀刻***或图5的湿蚀刻***蚀刻掉三层结构的一部分。例如,可以将具有临界尺寸(CD)的特征蚀刻至三层结构中。在图6A的步骤中,可以在第三层208中形成具有第一深度的第一特征602。在实施方式中,可以通过如上所描述的湿蚀刻处理或干蚀刻处理来蚀刻第一层。在图6B中所示的步骤下,可以将具有第二深度的第二特征604蚀刻至第二层206中。在图6C中所示的步骤下,可以将具有第三深度的第三特征606蚀刻至第一层204中。另外,第二层206可以经受图4中所示的氮化处理。在这样的实施方式中,第二层206可以是用氮离子轰击的SiARC层。在图6D处,完全去除先前已经经受氮化处理的第二层206。在实施方式中,图6D的步骤通过侵蚀性较弱的干蚀刻处理来实现。在另一实施方式中,图6D的步骤通过弱湿蚀刻处理例如使用弱HF稀释液来实现。无论所选择的实施方式如何,使用对中间层202的区域608中的器件级结构呈现高选择性的工艺来去除可以包括SiARC层的第二层。
图7A至图7C示出上述方法被测试具有有利结果的结构的侧视图的示例。图7A示出测试的鳍式场效应晶体管(FINFET)结构。该结构形成在基板102(未示出)上,并且包括外延层702、栅极氧化物层704、硅氮化物(SiN)中间层706,硅氮化物(SiN)中间层706将可流动的氧化物(FOX)708层与具有非晶硅(aSi)层712、薄的高k层714和SiN帽716的栅极结构分开。栅极结构可以通过SiN间隔物710与FOX层708间隔开。
在实施方式中,与FINFET结构相邻形成具有第一层204、第二层206以及第三层208的三层结构。第三层208可以通过上述图案化和去除处理被部分地去除。在实施方式中,第一层204和第二层206的一部分可以使用蚀刻处理来去除。如图7B中所示的剩余部分可以布置在图7A中所示的第三层206的部分的下方。在实施方式中,在一些实施方式中为SiARC层的第二层206可以经受氮化处理。在实施方式中,与等离子体蚀刻在基本相同的时间处执行氮化处理。在图7C中,去除第二层206,留下基本未损伤的第一层204的剩余部分和FINFET结构。这个测试的结果表明本文中描述的SiARC去除处理足以用于商业用途。
图8示出用于SiARC去除的方法800的实施方式。在一个实施方式中,如块810所示,方法800包括在处理室中设置基板,该基板包括:抗蚀剂层、SiARC层、图案转移层和底层。另外,如块820中所示,图8中的方法800可以包括执行图案转移处理,该图案转移处理被配置成去除抗蚀剂层并且在基板上创建结构,该结构包括图案转移层和SiARC层的一部分。在块830处,方法800还包括对结构的SiARC层执行修改处理,该修改处理选自物理或化学修改。另外,如块840所示,方法800可以包括执行从结构去除多孔SiARC层的处理。在这样的实施方式中,选择性蚀刻处理呈现对基板中的器件级结构的高敏感性,并且集成工艺被配置成满足如关于图6A至图6D所描述的目标集成目的。
图9是示出基板中的三层光致抗蚀剂堆叠和底层的一个实施方式的截面图。除了以上关于图1和图2描述的层之外,该实施方式还可以包括附加的非晶层902例如非晶硅(aSi)。非晶层902可以用于太阳能电池或液晶显示器(LCD)的制造。尽管关于图9的结构描述了图11A至图11D的实施方式,但是普通技术人员之一将认识到不要求包括非晶层902。可以包括包含各种层材料的更多或更少的层。
图10示出SiARC层的不完全去除的示例。由于标准SiARC层与底材料例如氧化物之间低水平的选择性,所以SiARC层的不完全去除是器件制造中的常见问题。不完全去除可能导致使器件劣化的制造缺陷,或者使其无用,因此产生浪费并且增加成本。
在图10的实施方式中,没有完全去除可以为SiARC的第二层206。可以为有机层的第一层204的一些部分也在蚀刻后保留。因此,中间层902没有通过蚀刻完全暴露。在图10的实施方式中,还示出氧化物层202的一部分。
图11A至图11D示出在用于选择性SiARC去除的一组处理中的基板的侧视图。在图11A中,在实施方式中,可以为抗蚀剂层的第三层208被形成至图案化掩模1102中。可以去除第一层204和第二层206的暴露区域。另外,可以去除非晶层902的一部分。如图11B中所示,去除部分可以形成层中的沟槽或其他物理特征1104。在这样的实施方式中,第一层204可以是有机层,第二层206可以是SiARC层并且第三层208可以是抗蚀剂层。
在图11C中所示的步骤中,在一些实施方式中可以为SiARC层的第三层206可以经受修改处理,由此变成修改后的层1106。修改处理可以包括本文中描述的氮化或碳剥离处理中的一个或更多个。如图11D中所示,然后可以通过后续的蚀刻处理来剥离修改后的层1106。在一个实施方式中,后续的蚀刻处理是湿蚀刻。替选地,后续的蚀刻处理可以是对中间层202或底层204中之一的材料具有选择性的干蚀刻处理。
图12和图13示出在暴露5分钟之后O2等离子体处理对各种SiARC层污染物(包括碳、氮、氧等)的存在的影响。图12示出多层结构根据深度(以纳米为单位)的存在组成。结构的各个层用箭头标记。本实施方式中的关注的主要区域是SiARC区域。如图12中可以看到的,未处理的SiARC层包括高达20%的碳。如图13中所示,在碳和其他污染物的存在上,等离子体处理引起显著降低,降至接近0%,由此产生多孔SiARC层。
图14示出SiARC去除处理的一个实施方式的实验结果。三种结构被递送至包括HF的湿蚀刻容器达各个时间段。由虚线表示的第一结构是O2处理的SiARC层,其中SiARC层通过O2等离子体被修改以变成多孔。由点划线表示的第二结构是O2处理的氧化物层。由混合虚线表示的第三结构是没有递送至任何修改处理的控制。如所示,观察到O2处理的SiARC层与其他结构的蚀刻速率上的大的偏差,表明高水平的选择性。
图15示出相对于室气体压力的SiARC对氧化物的选择性的示例。如所示,高于100毫托的压力示出强的选择性,并且与先前的处理相比,高于150毫托的压力示出极强的选择性。在图16中示出相对于室气体压力的蚀刻速率。在图17中示出相对于蚀刻气体浓度的示例的蚀刻速率。
本文中描述的工艺和方法的实施方式可以用于制造包括在商业产品中的基于半导体的产品的商业过程中。例如,图18示出包括印刷电路板(PCB)的电气装置1802。电气装置1802可以是许多商业上可用的产品之一,包括例如计算机、计算机监视器、电视、音频放大器、摄像机、智能电话以及个人数据助理、平板计算装置、智能手表、专用处理设备、传感器装置、医疗装置等。普通技术人员之一将认识到,根据本实施方式制造的装置不限于任何特定的领域。
电气装置1802可以包括一个或更多个PCB 1804,PCB 1804包括一个或更多个基于半导体的电气部件例如芯片封装1806。芯片封装1806可以包括具有一个或更多个特征的晶片的分段芯片例如布置在其上的图7A至图7C的FINFET装置。芯片可以包括例如硅基板102。可以以耐用的封装来封装芯片以用于保护布置在芯片上的特征。芯片封装1806还可以包括:一个或更多个接触引脚,其被配置成向芯片上的特定接触点提供外部访问。
有利地,相对于使用其他技术制造的器件,布置在芯片封装1806中的芯片上的特征的尺寸和密度可以较小,原因是三层堆叠的使用允许半导体器件的高分辨率图案化。此外,相对于先前的去除方法,所描述的方法允许容易去除用于图案化的SiARC层。
本领域技术人员将容易地想到另外的优势和修改。因此,本发明在其更广泛的方面不限于示出和描述的具体细节、代表性设备和方法以及说明性示例。因此,在不偏离总的发明构思的范围的情况下,可以根据这些细节做出偏离。

Claims (18)

1.一种用于选择性去除基板中的含硅抗反射涂层SiARC的集成工艺的方法,所述方法包括:
在处理室中设置基板,所述基板包括:
抗蚀剂层、SiARC、图案转移层以及底层;
执行图案转移处理,其被配置成去除所述抗蚀剂层并且在所述基板上创建结构,所述结构包括所述图案转移层和所述SiARC的一部分;
对所述结构的SiARC执行氮化修改处理,所述氮化修改处理使用氮离子的等离子体并且轰击所述SiARC以在所述SiARC中将所述氮离子注入至注入深度,将所述SiARC转变成具有增加的氮含量的氮化SiARC;以及
执行所述结构的氮化SiARC的去除处理,其中,所述增加的氮含量增加所述SiARC的去除百分比并且增加所述SiARC相对于所述图案转移层和/或所述底层的蚀刻选择性。
2.根据权利要求1所述的方法,其中,所述图案转移层包括碳硬掩模CHM层、有机介电层ODL、非晶硅层和/或氧化物层。
3.根据权利要求1所述的方法,其中,所述氮化修改处理还使用在电离等离子体场中的氧离子或氢离子。
4.根据权利要求1所述的方法,其中,所述氮化SiARC的去除处理采用干蚀刻处理步骤和湿蚀刻处理步骤。
5.根据权利要求4所述的方法,其中,所述干蚀刻处理步骤采用NF3或H2等离子体。
6.根据权利要求4所述的方法,其中,所述湿蚀刻处理步骤采用稀释的HF或HCl。
7.根据权利要求1所述的方法,其中,所述氮化SiARC的去除处理采用干蚀刻处理步骤和第二干蚀刻处理步骤。
8.根据权利要求7所述的方法,其中,所述第二干蚀刻处理步骤采用HF气体。
9.根据权利要求1所述的方法,还包括:选择并控制集成工艺的一个或更多个操作变量,以便满足包括足以基本去除所有的所述SiARC的注入深度的目标集成目的,所述目标集成目的还包括残留物的去除百分比、所述SiARC相对于所述图案转移层的蚀刻选择性、所述SiARC相对于所述底层的蚀刻选择性、对所述底层的损伤程度以及/或者对所述图案转移层的损伤程度。
10.根据权利要求9所述的方法,其中,所述一个或更多个操作变量包括等离子体密度、等离子体离子能量、在所述氮化修改处理和/或所述去除处理中使用的气体的供气流量、蚀刻时间、蚀刻速率、氮化修改处理压力、氮化修改处理温度或偏置功率。
11.根据权利要求10所述的方法,其中,使用控制器来控制所述氮化修改处理和所述去除处理的操作变量中的两个或更多个操作变量,以便满足所述目标集成目的。
12.根据权利要求11所述的方法,其中,所述目标集成目的包括:所述SiARC相对于所述图案转移层和/或所述底层的蚀刻选择性在5:1或更高的范围内。
13.根据权利要求12所述的方法,其中,将所述氮化修改处理压力控制在150毫托至300毫托的范围内。
14.一种用于选择性去除基板中的含硅抗反射涂层SiARC的集成工艺的方法,所述方法包括:
在处理室中设置基板,所述基板包括抗蚀剂层、SiARC、图案转移层以及底层;
执行图案转移处理,所述图案转移处理被配置成去除所述抗蚀剂层并且在所述基板上创建结构,所述结构包括所述图案转移层和所述SiARC的一部分;
在执行所述图案转移处理的同时,使用N2和H2的等离子体对所述结构的SiARC进行氮化,以将氮离子注入至注入深度;以及
去除所述结构的氮化SiARC,其中,所述注入深度足以基本实现所述SiARC的完全去除,其中所述SiARC相对于所述图案转移层和/或所述底层的蚀刻选择性至少为5:1。
15.根据权利要求14所述的方法,其中,去除所述氮化SiARC包括使用NF3或H2等离子体的干蚀刻处理。
16.根据权利要求14所述的方法,其中,去除所述氮化SiARC包括使用稀释的HF或HCl的湿蚀刻处理。
17.根据权利要求14所述的方法,还包括:选择并控制所述集成工艺的一个或更多个操作变量,以便满足以下目标集成目的,所述目标集成目的包括足以基本实现所述SiARC的完全去除的注入深度和至少5:1的蚀刻选择性。
18.根据权利要求17所述的方法,其中,所述一个或更多个操作变量包括等离子体密度、等离子体离子能量、N2和H2流量、蚀刻时间、蚀刻速率、在氮化期间的处理压力、在氮化期间的处理温度或偏置功率。
CN201780019678.6A 2016-02-29 2017-02-23 选择性SiARC去除 Active CN108885402B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662301386P 2016-02-29 2016-02-29
US62/301,386 2016-02-29
US201762445042P 2017-01-11 2017-01-11
US62/445,042 2017-01-11
PCT/US2017/019116 WO2017151383A1 (en) 2016-02-29 2017-02-23 Selective siarc removal

Publications (2)

Publication Number Publication Date
CN108885402A CN108885402A (zh) 2018-11-23
CN108885402B true CN108885402B (zh) 2020-01-14

Family

ID=59743177

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780019678.6A Active CN108885402B (zh) 2016-02-29 2017-02-23 选择性SiARC去除

Country Status (5)

Country Link
US (1) US10115591B2 (zh)
KR (1) KR102413039B1 (zh)
CN (1) CN108885402B (zh)
TW (1) TWI659259B (zh)
WO (1) WO2017151383A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10049876B1 (en) 2017-02-13 2018-08-14 International Business Machines Corporation Removal of trilayer resist without damage to underlying structure
US10627720B2 (en) * 2017-08-18 2020-04-21 Globalfoundries Inc. Overlay mark structures
CN110600429B (zh) * 2018-06-13 2020-09-15 联华电子股份有限公司 形成电容掩模的方法
US11183398B2 (en) * 2018-08-10 2021-11-23 Tokyo Electron Limited Ruthenium hard mask process
US11189484B2 (en) * 2019-12-20 2021-11-30 Micron Technology, Inc. Semiconductor nitridation passivation
US11527413B2 (en) 2021-01-29 2022-12-13 Tokyo Electron Limited Cyclic plasma etch process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
CN101740470A (zh) * 2008-11-17 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法及半导体器件
CN103021839A (zh) * 2012-11-28 2013-04-03 上海华力微电子有限公司 提高无氮介质抗反射层薄膜与光刻胶粘附力的方法
CN104011837A (zh) * 2011-10-27 2014-08-27 应用材料公司 用于蚀刻低k及其它介电质膜的制程腔室
CN104704613A (zh) * 2012-10-09 2015-06-10 应用材料公司 用离子防护件处理基板的方法和设备
CN104766905A (zh) * 2014-12-04 2015-07-08 南京工业大学 一种硅薄膜光热吸收体的制备方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07273111A (ja) * 1994-03-31 1995-10-20 Kawasaki Steel Corp 多層配線構造を有する半導体装置の製造方法、及び、多層配線構造を有する半導体装置
FR2810168B1 (fr) * 2000-06-08 2005-06-17 Meritor Light Vehicle Sys Ltd Moteur electrique notamment motoreducteur pour l'activation d'equipements fonctionnels de vehicules
US6720251B1 (en) 2001-06-28 2004-04-13 Novellus Systems, Inc. Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US20060102208A1 (en) 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
JP4791034B2 (ja) * 2004-12-28 2011-10-12 東京エレクトロン株式会社 半導体装置の製造方法
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US20100216310A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US20110076623A1 (en) 2009-09-29 2011-03-31 Tokyo Electron Limited Method for reworking silicon-containing arc layers on a substrate
US8288271B2 (en) 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8435419B2 (en) * 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
US8513765B2 (en) * 2010-07-19 2013-08-20 International Business Machines Corporation Formation method and structure for a well-controlled metallic source/drain semiconductor device
KR101746709B1 (ko) * 2010-11-24 2017-06-14 삼성전자주식회사 금속 게이트 전극들을 갖는 반도체 소자의 제조방법
US9561525B2 (en) 2011-02-11 2017-02-07 Dsm Ip Assets B.V. Process for depositing an anti-reflective layer on a substrate
US8883646B2 (en) 2012-08-06 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
JP2016036073A (ja) * 2014-08-01 2016-03-17 パナソニックIpマネジメント株式会社 情報提供システム及び情報提供方法
US9508560B1 (en) * 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
CN101740470A (zh) * 2008-11-17 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法及半导体器件
CN104011837A (zh) * 2011-10-27 2014-08-27 应用材料公司 用于蚀刻低k及其它介电质膜的制程腔室
CN104704613A (zh) * 2012-10-09 2015-06-10 应用材料公司 用离子防护件处理基板的方法和设备
CN103021839A (zh) * 2012-11-28 2013-04-03 上海华力微电子有限公司 提高无氮介质抗反射层薄膜与光刻胶粘附力的方法
CN104766905A (zh) * 2014-12-04 2015-07-08 南京工业大学 一种硅薄膜光热吸收体的制备方法

Also Published As

Publication number Publication date
KR102413039B1 (ko) 2022-06-23
US10115591B2 (en) 2018-10-30
TWI659259B (zh) 2019-05-11
US20180197730A1 (en) 2018-07-12
WO2017151383A1 (en) 2017-09-08
KR20180112063A (ko) 2018-10-11
TW201741761A (zh) 2017-12-01
CN108885402A (zh) 2018-11-23

Similar Documents

Publication Publication Date Title
CN108885402B (zh) 选择性SiARC去除
US9786503B2 (en) Method for increasing pattern density in self-aligned patterning schemes without using hard masks
TWI458014B (zh) 用以控制多層遮罩之圖案臨界尺寸與完整性的蝕刻製程
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US7888267B2 (en) Method for etching silicon-containing ARC layer with reduced CD bias
US8183161B2 (en) Method and system for dry etching a hafnium containing material
US9748110B2 (en) Method and system for selective spacer etch for multi-patterning schemes
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
US8642483B2 (en) Substrate processing with shrink etching step
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
US7858270B2 (en) Method for etching using a multi-layer mask
US20090197420A1 (en) Method for etching a silicon-containing arc layer to reduce roughness and cd
US11243465B2 (en) Plasma treatment method to enhance surface adhesion for lithography
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US20190348288A1 (en) Methods and systems for patterning of low aspect ratio stacks
JP2010016213A (ja) プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
CN109074004B (zh) 利用选定的蚀刻剂气体混合物以及调整操作变量修整无机抗蚀剂的方法
CN108885977B (zh) 在集成方案的各个阶段期间进行图案化的修整方法
US20180082851A1 (en) Spacer formation for self-aligned multi-patterning technique

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant