CN108878363B - 半导体结构及其形成方法 - Google Patents

半导体结构及其形成方法 Download PDF

Info

Publication number
CN108878363B
CN108878363B CN201710333287.3A CN201710333287A CN108878363B CN 108878363 B CN108878363 B CN 108878363B CN 201710333287 A CN201710333287 A CN 201710333287A CN 108878363 B CN108878363 B CN 108878363B
Authority
CN
China
Prior art keywords
dielectric film
grinding
containing dielectric
polishing
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710333287.3A
Other languages
English (en)
Other versions
CN108878363A (zh
Inventor
蒋莉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201710333287.3A priority Critical patent/CN108878363B/zh
Priority to US15/977,484 priority patent/US10553447B2/en
Publication of CN108878363A publication Critical patent/CN108878363A/zh
Application granted granted Critical
Publication of CN108878363B publication Critical patent/CN108878363B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种半导体结构及其形成方法,形成方法包括:提供基底;在所述基底上形成含C介质膜;对所述含C介质膜进行化学机械研磨工艺,其中,所述化学机械研磨工艺的步骤包括通过研磨垫对所述含C介质膜进行多次研磨操作,且在每一次研磨操作之前以及之后,采用弱酸清洗液对所述研磨垫进行清洗操作。本发明通过研磨垫对含C介质膜进行多次研磨操作时,在每一次研磨操作之前以及之后,采用弱酸清洗液对所述研磨垫进行清洗操作,从而去除所述研磨垫表面的有机残留物,避免在所形成半导体结构表面形成有机残留物和划痕缺陷,进而提高所形成半导体结构电学性能。

Description

半导体结构及其形成方法
技术领域
本发明涉及半导体领域,尤其涉及一种半导体结构及其形成方法。
背景技术
在半导体器件中,减小RC延迟(Resistance capacitance delay),可以提高半导体器件的性能。随着半导体工艺的发展,技术节点的推进,器件的功能不断强大,器件的集成度越来越高,器件的特征尺寸(Critical Dimension,CD)也越来越小,相应的,进一步减小RC延迟成为了提高半导体器件性能的重要措施之一。
目前,为了减小RC延迟,层间介质层所采用的材料通常为低k介质材料或超低k介质材料,从而提高半导体器件的性能。
但是,半导体器件的性能仍有待提高。
发明内容
本发明解决的问题是提供一种半导体结构的形成方法,优化半导体器件的电学性能。
为解决上述问题,本发明提供一种半导体结构的形成方法,包括:提供基底;在所述基底上形成含C介质膜;对所述含C介质膜进行化学机械研磨工艺,其中,所述化学机械研磨工艺的步骤包括通过研磨垫对所述含C介质膜进行多次研磨操作,且在每一次研磨操作之前以及之后,采用弱酸清洗液对所述研磨垫进行清洗操作。
可选的,所述弱酸清洗液包括柠檬酸、醋酸、甲酸、乙酸、辛酸或碳酸。
可选的,采用弱酸清洗液对所述研磨垫进行清洗操作的步骤中,清洗时间为10秒至60秒。
可选的,所述含C介质膜的材料为SiOC、SiOCH、SiOCN或氟碳化合物。
可选的,所述化学机械研磨工艺的步骤包括:通过第一研磨垫对所述含C介质膜进行第一研磨操作,用于去除部分厚度的所述含C介质膜;通过第二研磨垫对所述含C介质膜进行第二研磨操作,用于平坦化剩余含C介质膜,所述第二研磨垫的可压缩性大于所述第一研磨垫的可压缩性;通过第三研磨垫对所述含C介质膜进行第三研磨操作,用于对所述剩余含C介质膜表面进行第一清洗,所述第三研磨垫的可压缩性与所述第二研磨垫的可压缩性相同。
可选的,所述第一研磨操作的参数包括:下压力为2psi至4psi,基座的转速为40rpm至120rpm,研磨液为CeO2基研磨液,所述研磨液的流速为100ml/min至400ml/min。
可选的,所述第二研磨操作的参数包括:下压力为1psi至3psi,基座的转速为30rpm至90rpm,研磨液为胶状SiO2基研磨液,所述研磨液的流速为100ml/min至400ml/min。
可选的,所述第三研磨操作的研磨液为有机酸溶液,所述有机酸溶液含有亲水功能团和疏水功能团。
可选的,所述第三研磨操作的参数包括:下压力为1psi至3psi,基座的转速为30rpm至90rpm,研磨液的流速为200ml/min至400ml/min,研磨时间为20s至60s。
可选的,提供基底的步骤中,所述基底上形成有栅极结构,所述栅极结构顶部形成有栅极保护层;在所述基底上形成含C介质膜的步骤中,所述含C介质膜覆盖所述栅极保护层顶部。
可选的,对所述含C介质膜进行化学机械研磨工艺后,剩余含C介质膜露出所述栅极保护层顶部,且所述剩余含C介质膜作为层间介质层。
可选的,所述层间介质层的材料为SiOC或SiOCH。
可选的,所述栅极保护层的材料为氮化硅。
可选的,在所述基底上形成含C介质膜后,还包括:在所述含C介质膜上形成研磨牺牲层。
可选的,对所述含C介质膜进行化学机械研磨工艺之前,还包括:研磨去除所述研磨牺牲层。
可选的,所述含C介质膜的厚度为
Figure BDA0001293116690000031
Figure BDA0001293116690000032
可选的,所述研磨牺牲层为原硅酸四乙酯层或等离子体增强氧化层。
可选的,所述研磨牺牲层的厚度为
Figure BDA0001293116690000033
Figure BDA0001293116690000034
可选的,对所述含C介质膜进行化学机械研磨工艺后,还包括:对所述基底进行第二清洗。
相应的,本发明还提供一种采用上述形成方法所形成的半导体结构。
与现有技术相比,本发明的技术方案具有以下优点:
本发明通过研磨垫(Pad)对含C介质膜进行多次研磨操作时,在每一次研磨操作之前(即Pre-CMP)以及之后(即Post-CMP),采用弱酸清洗液对所述研磨垫进行清洗操作,由于弱酸清洗液可以溶解有机残留物(Organic Residues),因此可以去除所述研磨垫表面的有机残留物,从而避免在所形成半导体结构表面形成有机残留物和划痕缺陷(ScratchDefect),进而提高所形成半导体结构电学性能。
可选方案中,所述基底上形成有栅极结构,对所述含C介质膜进行化学机械研磨工艺后,剩余所述含C介质膜作为层间介质层,所述层间介质层的材料为SiOC或SiOCH,因此通过所述技术方案,不仅可以避免在所形成半导体结构表面形成有机残留物和划痕缺陷,且可以减小RC延迟,有利于提高所形成半导体结构电学性能。
可选方案中,所述层间介质层的材料为SiOC或SiOCH,SiOC材料和SiOCH材料均为疏水性材料,第三研磨操作的研磨液为有机酸溶液,所述有机酸含有亲水功能团和疏水功能团,所述疏水功能团吸附于剩余含C介质膜表面,所述亲水功能团可以和氢键相吸引,因此通过所述有机酸溶液,使所述剩余含C介质膜表面由疏水性变为亲水性,从而有利于后续对所述基底进行第二清洗。
附图说明
图1至图5是本发明半导体结构的形成方法一实施例中各步骤对应的结构示意图。
具体实施方式
由背景技术可知,半导体器件的性能仍有待提高。现结合一种半导体结构的形成方法分析性能仍有待提高的原因。
所述形成方法包括:提供基底,所述基底上形成有栅极结构;在所述基底上形成层间介质膜,所述层间介质膜覆盖所述栅极结构顶部;采用化学机械研磨工艺(CMP),通过研磨垫(Pad)去除高于所述栅极结构顶部的层间介质膜,形成层间介质层。
为了减小RC延迟,所述层间介质层所采用的材料通常为低k介质材料或超低k介质材料。具体地,所述层间介质层通常为SiOC层。
但是,SiOC层为含C材料层,含C材料层容易形成碳链且具有易沾性,因此在所述化学机械研磨工艺的过程中,容易在所述研磨垫表面形成有机残留物(Organic Residues),从而在所述硅片(Wafer)表面形成划痕缺陷(Scratch Defect)。
为了解决所述技术问题,本发明通过研磨垫对含C介质膜进行多次研磨操作时,在每一次研磨操作之前以及之后,采用弱酸清洗液对所述研磨垫进行清洗操作,从而去除所述研磨垫表面的有机残留物,避免在所形成半导体结构表面形成有机残留物和划痕缺陷,进而提高所形成半导体结构电学性能。
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
图1至图5是本发明半导体结构的形成方法一实施例中各步骤对应的结构示意图。
参考图1,提供基底(图未示),在所述基底上形成含C介质膜510。
所述基底为后续半导体结构的形成提供工艺平台,
本实施例中,所形成半导体结构为鳍式场效应管晶体管,因此所述基底包括衬底(图未示)以及位于所述衬底上分立的鳍部(图未示)。
在其他实施例中,所述基底还可以用于形成平面晶体管,所述基底相应为平面基底。
本实施例中,所述衬底为硅衬底。在其他实施例中,所述衬底的材料还可以为锗、锗化硅、碳化硅、砷化镓或镓化铟,所述衬底还能够为绝缘体上的硅衬底或者绝缘体上的锗衬底。
所述鳍部的材料与所述衬底的材料相同。本实施例中,所述鳍部的材料为硅。在其他实施例中,所述鳍部的材料还可以是锗、锗化硅、碳化硅、砷化镓或镓化铟。
本实施例中,提供基底的步骤中,所述基底上形成有栅极结构100,所述栅极结构100顶部形成有栅极保护层150。具体地,所述栅极结构100横跨所述鳍部,且覆盖所述鳍部的部分侧壁和顶部表面。
所述栅极结构100用于控制所形成半导体结构的沟道的开启和截断。
本实施例中,所述栅极结构100为金属栅极(Metal Gate)。具体地,所述栅极结构100包括功函数层110以及位于所述功函数层110上的金属层120。所述功函数层110和所述基底之间还可以形成有高k栅介质层(图未示)。
需要说明的是,在所述基底上形成所述栅极结构100后,还包括:在所述栅极结构100侧壁和栅极保护层150侧壁上形成侧墙200;形成所述侧墙200后,在所述栅极结构100两侧的基底内形成源漏掺杂区(图未示)。
所述侧墙200的材料可以为氧化硅、氮化硅、碳化硅、碳氮化硅、碳氮氧化硅、氮氧化硅、氮化硼或碳氮化硼,所述侧墙200可以为单层结构或叠层结构。本实施例中,所述侧墙200为叠层结构,所述侧墙200包括位于所述栅极结构100侧壁和栅极保护层150侧壁上的氧化硅层210、以及位于所述氧化硅层210侧壁上的氮化硅层220。
所述源漏掺杂区用于作为所形成半导体结构的源区(Source)或漏区(Drain)。具体地,所述源漏掺杂区形成于所述栅极结构100两侧的鳍部内。
还需要说明的是,所述基底表面和侧墙200侧壁还形成有刻蚀停止层(CESL)250,在半导体制造领域中,在形成接触孔插塞(CT)的刻蚀工艺过程中,所述刻蚀停止层250顶部用于定义所述刻蚀工艺的停止位置,从而避免各区域出现刻蚀不足或过刻蚀的问题。本实施例中,所述刻蚀停止层250的材料为氮化硅。
所述栅极保护层150用于在后续的工艺过程中,对所述栅极结构100顶部起到保护作用。本实施例中,所述栅极保护层150的材料为氮化硅。
具体地,形成所述栅极保护层150的步骤包括:刻蚀去除部分厚度的所述栅极结构100,在所述侧墙200内形成凹槽(图未示);在所述凹槽内填充栅极保护材料,所述栅极保护材料覆盖所述侧墙200顶部;采用平坦化工艺,去除高于所述侧墙200顶部的所述栅极保护材料,所述凹槽中的剩余所述栅极保护材料作为栅极保护层150。
本实施例中,所述栅极保护层150的顶部与所述侧墙200顶部齐平。在其他实施例中,所述栅极保护层顶部还可以低于所述侧墙顶部。
需要说明的是,在保证所述栅极保护层150对所述栅极结构100顶部的保护作用的同时,避免出现所述栅极结构100厚度过小的问题,从而避免对所形成半导体结构的电学性能产生不良影响,将所述栅极保护层150的厚度设置在合理范围内。本实施例中,在所述栅极结构100顶部形成所述栅极保护层150后,所述栅极保护层150的厚度为
Figure BDA0001293116690000061
Figure BDA0001293116690000062
所述含C介质膜510为材料含C元素的介质材料,例如,所述含C介质膜510的材料可以为SiOC、SiOCH、SiOCN或氟碳化合物。
本实施例中,所述含C介质膜510经后续的化学机械研磨工艺后,剩余含C介质膜510实现顶部表面平坦化,所述剩余含C介质膜510露出所述栅极保护层150顶部,且所述剩余含C介质膜510作为层间介质层。
为了减小RC延迟,层间介质层所采用的材料通常为低k介质材料(低k介质材料指相对介电常数大于或等于2.6、小于等于3.9的介质材料)或超低k介质材料(超低k介质材料指相对介电常数小于2.6的介质材料)。本实施例中,所述含C介质膜510的材料为SiOC。在另一实施例中,所述含C介质膜的材料还可以为SiOCH。
本实施例中,采用化学气相沉积工艺,在所述刻蚀停止层250上形成所述含C介质膜510。
所述基底上形成有栅极结构100,且所述栅极结构100顶部形成有所述栅极保护层150,相应的,在所述基底上形成含C介质膜510的步骤中,所述含C介质膜510覆盖所述栅极保护层150顶部。
结合参考图2,需要说明的是,含C材料容易形成碳链且具有易沾性,因此在后续化学机械研磨工艺的过程中,对所述含C介质膜510的研磨工艺难度较大,且容易在研磨垫(Pad)表面形成有机残留物(Organic Residues),因此在所述基底上形成含C介质膜510后,所述形成方法还包括:在所述含C介质膜510上形成研磨牺牲层520。
通过所述研磨牺牲层520,在使剩余含C介质膜510露出所述栅极保护层150顶部并实现顶部表面平坦化的同时,避免出现所述含C介质膜510厚度过大的问题,从而可以减小有机残留物,降低研磨工艺难度。
本实施例中,所述研磨牺牲层520为原硅酸四乙酯层(TetraethylOrthosilicate,TEOS)或等离子体增强氧化层(Plasma Enhance Oxide,PEOX)。
所述含C介质膜510的厚度不宜过小,也不宜过大。如果所述含C介质膜510的厚度过小,容易出现后续所形成层间介质层厚度过小的问题,所以所述含C介质膜510至少覆盖所述栅极保护层150顶部;如果所述含C介质膜510的厚度过大,容易增加研磨工艺难度和有机残留物。为此,本实施例中,在所述基底上形成所述含C介质膜510后,所述含C介质膜510的厚度为
Figure BDA0001293116690000071
Figure BDA0001293116690000072
所述研磨牺牲层520的厚度不宜过小,也不宜过大。如果所述研磨牺牲层520的厚度过小,容易降低后续所形成层间介质层的顶部表面平坦度,或容易出现后续所形成层间介质层厚度过小的问题;如果所述研磨牺牲层520的厚度过大,相应会增加工艺时间,降低研磨效率。为此,本实施例中,所述研磨牺牲层520的厚度为
Figure BDA0001293116690000073
Figure BDA0001293116690000074
结合参考图3和图4,对所述含C介质膜510(如图2所示)进行化学机械研磨工艺(CMP),其中,所述化学机械研磨工艺的步骤包括通过研磨垫(Pad)对所述含C介质膜510进行多次研磨操作,且在每一次研磨操作之前以及之后,采用弱酸清洗液对所述研磨垫进行清洗操作。
含C材料容易形成碳链且具有易沾性,因此在所述化学机械研磨工艺的过程中,容易在研磨垫表面形成有机残留物,所述弱酸清洗液可以溶解所述有机残留物,从而去除所述研磨垫表面的有机残留物,进而避免在所形成半导体结构表面形成划痕缺陷。
所述弱酸清洗液可以为有机弱酸清洗液(例如柠檬酸、醋酸、甲酸、乙酸或辛酸),还可以为无机弱酸清洗液(例如碳酸)。本实施例中,所述弱酸清洗液为柠檬酸。
具体地,所述化学机械研磨工艺的步骤包括:通过第一研磨垫对所述含C介质膜510进行第一研磨操作,用于去除部分厚度的所述含C介质膜510;通过第二研磨垫对所述含C介质膜510进行第二研磨操作,用于平坦化剩余含C介质膜510,所述第二研磨垫的可压缩性大于所述第一研磨垫的可压缩性;通过所述第二研磨垫对所述含C介质膜510进行第三研磨操作,用于对所述剩余含C介质膜510表面进行第一清洗,所述第三研磨垫的可压缩性与所述第二研磨垫的可压缩性相同。
参考图3,所述第一研磨操作用于去除大量所述含C介质膜510。
相应的,所述第一研磨操作所采用的研磨液(Slurry)为CeO2基研磨液,且所述第一研磨垫的可压缩性较低(即为Hard Pad)。
CeO2基研磨液能研磨去除大量SiOC材料,且对氮化硅材料的研磨率较低,从而可以较好地以所述栅极保护层150顶部作为停止位置。
对所述Hard Pad的定义与现有技术相同,本发明在此不再赘述。
本实施例中,在所述第一研磨操作的过程中,通过摩擦力检测或光学终点(Optical Endpoint)的方式,以所述栅极保护层150顶部为停止位置,研磨去除高于所述栅极保护层150顶部的所述含C介质膜510,从而为后续继续对剩余含C介质膜510顶部进行平坦化提供工艺基础。也就是说,在所述第一研磨操作后,所述剩余含C介质膜510露出所述栅极保护层150顶部。
需要说明的是,所述含C介质膜510上还形成有研磨牺牲层520,因此,对所述含C介质膜510进行化学机械研磨工艺之前,还包括:研磨去除所述研磨牺牲层520。
本实施例中,通过所述第一研磨操作,研磨去除所述研磨牺牲层520以及高于所述栅极保护层150顶部的所述含C介质膜510。
为了提高研磨效率的同时,提高所述第一研磨操作后所述剩余含C介质膜510的表面质量,将所述第一研磨操作的参数设置在合理范围内,且相互配合。本实施例中,所述第一研磨操作的参数包括:下压力(Down Force)为2psi至4psi,基座的转速(Platen Speed)为40rpm至120rpm,所述研磨液的流速为100ml/min至400ml/min。其中,psi指的是磅每平方英寸(Pounds Per Square inch),rpm指的是转数每分钟(Roung Per Minute)。
为了去除所述第一研磨垫表面的有机残留物,减少所述剩余含C介质膜510表面的划痕缺陷,在所述第一研磨操作之前以及所述第一研磨操作之后,采用弱酸清洗液对所述第一研磨垫进行清洗操作。本实施例中,所述弱酸清洗液为柠檬酸。
具体地,在所述含C介质膜510顶部表面以及第一研磨操作后的所述剩余含C介质膜510顶部表面未与所述第一研磨垫表面接触的情况下,采用所述柠檬酸清洗液对所述第一研磨垫进行清洗操作。
需要说明的是,采用弱酸清洗液对所述第一研磨垫进行清洗操作的步骤中,清洗时间不宜过短,也不宜过长。如果所述清洗时间过短,则去除所述第一研磨垫表面的有机残留物的效果较差,从而难以减少所述剩余含C介质膜510表面的划痕缺陷;如果所述清洗时间过长,反而浪费资源和时间,且容易增加工艺风险。为此,本实施例中,清洗时间为10秒至60秒。
参考图4,所述第二研磨操作用于对剩余含C介质膜510进行平整化修复。
也就是说,通过所述第二研磨操作,可以提高后续所形成层间介质层的顶部表面平坦度。
如图3所示,需要说明的是,在所述第一研磨操作后,所述剩余含C介质膜510顶部表面容易出现凹陷(Dishing)问题,因此,为了提高后续所形成层间介质层的顶部表面平坦度,在所述第二研磨操作的过程中,还去除部分厚度的所述栅极保护层150。
相应的,所述第二研磨操作所采用的研磨液为胶状SiO2基研磨液,且所述第二研磨垫的可压缩性较高(即为Soft Pad)。
胶状SiO2基研磨液对SiOC材料的研磨速率较低,且对氮化硅材料具有消耗作用,从而可以实现对剩余含C介质膜510的平整化修复。
因此,在所述第二研磨操作的过程中,还去除部分厚度的所述侧墙200和刻蚀停止层250。也就是说,在所述第二研磨操作后,所述栅极保护层150顶部、刻蚀停止层25顶部和侧墙200顶部仍旧齐平。
对所述Soft Pad的定义与现有技术相同,本发明在此不再赘述。
本实施例中,在所述第二研磨操作的过程中,通过控制研磨时间(By Time)或剩余含C介质膜510厚度检测的方式,使所述剩余含C介质膜510的厚度达到工艺目标值,且在所述第二研磨操作后,所述剩余含C介质膜510的顶部表面平坦度较高。
为了提高研磨效率的同时,提高所述第二研磨操作后所述剩余含C介质膜510的表面质量,将所述第二研磨操作的参数设置在合理范围内,且相互配合。本实施例中,所述第二研磨操作的参数包括:下压力为1psi至3psi,基座的转速为30rpm至90rpm,所述研磨液的流速为100ml/min至400ml/min。
本实施例中,为了保证所述剩余含C介质膜510的顶部表面的平坦度,消除所述第一研磨操作后所述剩余含C介质膜510顶部表面的凹陷(Dishing)问题,在所述第二研磨操作后,所述栅极保护层150的去除量为
Figure BDA0001293116690000101
Figure BDA0001293116690000102
其中,所述栅极保护层150的去除量根据实际工艺情况而定。
本实施例中,为了去除所述第二研磨垫表面的有机残留物,减少所述第二研磨操作后所述剩余含C介质膜510表面的划痕缺陷,在所述第二研磨操作之前以及所述第二研磨操作之后,采用弱酸清洗液对所述第二研磨垫进行清洗操作。本实施例中,所述弱酸清洗液为柠檬酸。
具体地,在所述剩余含C介质膜510顶部表面未与所述第二研磨垫表面接触的情况下,采用柠檬酸基清洗液对所述第二研磨垫进行清洗操作。
本实施例中,采用弱酸清洗液对所述第二研磨垫进行清洗操作的步骤中,清洗时间为10秒至60秒。对所述清洗时间的分析,请参考前述对所述第一研磨垫进行清洗操作的清洗时间设定分析,在此不再赘述。
参考5,所述第三研磨操作用于对所述剩余含C介质膜510表面进行第一清洗,使所述剩余含C介质膜510表面为亲水性,从而为后续对所述基底进行第二清洗提供工艺基础。
因此,本实施例中,所述第三研磨操作的研磨液为有机酸溶液,所述有机酸溶液含有亲水功能团和疏水功能团,且通过第三研磨垫(即Soft Pad)进行所述第三研磨操作。
本实施例中,所述第三研磨操作还用于对所述剩余含C介质膜510表面进行化学抛光,从而去除所述剩余含C介质膜510表面的杂质(Particle)和有机残留物。
SiOC材料为疏水性材料,所述有机酸含有亲水功能团和疏水功能团,所述疏水功能团吸附于所述剩余含C介质膜510表面,所述亲水功能团可以和氢键相吸引,因此通过所述有机酸溶液,使所述剩余含C介质膜510表面由疏水性变为亲水性。
具体地,所述疏水功能团包括:烷基或苯环等,所述亲水功能团包括羟基或羧基等。
本实施例中,采用控制研磨时间(By Time)的方式进行所述第三研磨操作。在所述第三研磨操作后,所述剩余含C介质膜510作为层间介质层550。
为了提高研磨效率的同时,保证所述层间介质层550表面为亲水性,且减少所述层间介质层550表面的杂质和有机残留物,将所述第三研磨操作的参数设置在合理范围内,且相互配合。本实施例中,所述第三研磨操作的参数包括:下压力为1psi至3psi,基座的转速为30rpm至90rpm,研磨液的流速为200ml/min至400ml/min,研磨时间为20s至60s。
本实施例中,为了去除所述第三研磨垫表面的有机残留物,减少所述第三研磨操作后所述层间介质层550表面的划痕缺陷,在所述第三研磨操作之前以及所述第研磨操作之后,采用弱酸清洗液对所述第三研磨垫进行清洗操作。本实施例中,所述弱酸清洗液为柠檬酸。
具体地,在所述剩余含C介质膜510顶部表面未与所述第三研磨垫表面接触的情况下以及所述层间介质层550顶部表面未与所述第三研磨垫表面接触的情况下,采用柠檬酸基清洗液对所述第三研磨垫进行清洗操作。
本实施例中,采用弱酸清洗液对所述第三研磨垫进行清洗操作的步骤中,清洗时间为10秒至60秒。对所述清洗时间的分析,请参考前述对所述第一研磨垫进行清洗操作的清洗时间设定分析,在此不再赘述。
本实施例中,所述含C介质膜510的材料为SiOC,相应的,所述层间介质层550的材料为SiOC。在其他实施例中,所述层间介质层的材料还可以为SiOCH。
需要说明的是,本实施例中,在所述化学机械研磨工艺后,所述形成方法还包括:对所述基底进行第二清洗。
所述第二清洗用于去除所述层间介质层550表面、栅极保护层150表面,侧墙200表面、以及所述刻蚀停止层250表面的杂质。
本实施例中,所述第二清洗的步骤包括:依次采用有机酸溶液和去离子水(DIW)对所述基底进行清洗。其中,采用去离子水进行清洗的步骤中,清洗时间小于30秒,去离子水的流速大于1000毫升。
其中,通过先采用有机酸溶液后采用去离子水的方式进行清洗的方式,可以避免所述层间介质层550再次变为疏水性,从而有利于采用所述去离子水对所述基底进行清洗。
在其他实施例中,还可以直接采用去离子水进行所述第二清洗。
本发明通过研磨垫对含C介质膜510进行多次研磨操作时,在每一次研磨操作之前以及之后,采用弱酸清洗液对所述研磨垫进行清洗操作,从而去除所述研磨垫表面的有机残留物,避免在所形成半导体结构表面形成有机残留物和划痕缺陷,进而提高所形成半导体结构电学性能。
相应的,本发明还提供一种采用上述形成方法所形成的半导体结构。
对所述半导体结构的具体描述,请参考前述实施例中的相应描述,在此不再赘述。
所述半导体结构表面的划痕缺陷(Scratch Defect)和有机残留物较少,因此所述半导体结构的电学性能可以得到提高。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (17)

1.一种半导体结构的形成方法,其特征在于,包括:
提供基底;
在所述基底上形成含C介质膜;
在所述含C介质膜上形成研磨牺牲层;
研磨去除所述研磨牺牲层;
对所述含C介质膜进行化学机械研磨工艺,其中,所述化学机械研磨工艺的步骤包括通过研磨垫对所述含C介质膜进行多次研磨操作,且在每一次研磨操作之前以及之后,采用弱酸清洗液对所述研磨垫进行清洗操作,去除所述研磨垫表面的有机残留物;
所述化学机械研磨工艺的步骤包括:通过第一研磨垫对所述含C介质膜进行第一研磨操作,用于去除部分厚度的所述含C介质膜;
通过第二研磨垫对所述含C介质膜进行第二研磨操作,用于平坦化剩余含C介质膜,所述第二研磨垫的可压缩性大于所述第一研磨垫的可压缩性;
通过第三研磨垫对所述含C介质膜进行第三研磨操作,用于对所述剩余含C介质膜表面进行第一清洗,所述第三研磨垫的可压缩性与所述第二研磨垫的可压缩性相同。
2.如权利要求1所述的半导体结构的形成方法,其特征在于,所述弱酸清洗液包括柠檬酸、醋酸、甲酸、乙酸、辛酸或碳酸。
3.如权利要求1所述的半导体结构的形成方法,其特征在于,采用弱酸清洗液对所述研磨垫进行清洗操作的步骤中,清洗时间为10秒至60秒。
4.如权利要求1所述的半导体结构的形成方法,其特征在于,所述含C介质膜的材料为SiOC、SiOCH、SiOCN或氟碳化合物。
5.如权利要求1所述的半导体结构的形成方法,其特征在于,所述第一研磨操作的参数包括:下压力为2psi至4psi,基座的转速为40rpm至120rpm,研磨液为CeO2基研磨液,所述研磨液的流速为100ml/min至400ml/min。
6.如权利要求1所述的半导体结构的形成方法,其特征在于,所述第二研磨操作的参数包括:下压力为1psi至3psi,基座的转速为30rpm至90rpm,研磨液为胶状SiO2基研磨液,所述研磨液的流速为100ml/min至400ml/min。
7.如权利要求1所述的半导体结构的形成方法,其特征在于,所述第三研磨操作的研磨液为有机酸溶液,所述有机酸溶液含有亲水功能团和疏水功能团。
8.如权利要求1或7所述的半导体结构的形成方法,其特征在于,所述第三研磨操作的参数包括:下压力为1psi至3psi,基座的转速为30rpm至90rpm,研磨液的流速为200ml/min至400ml/min,研磨时间为20s至60s。
9.如权利要求1所述的半导体结构的形成方法,其特征在于,提供基底的步骤中,所述基底上形成有栅极结构,所述栅极结构顶部形成有栅极保护层;
在所述基底上形成含C介质膜的步骤中,所述含C介质膜覆盖所述栅极保护层顶部。
10.如权利要求9所述的半导体结构的形成方法,其特征在于,对所述含C介质膜进行化学机械研磨工艺后,剩余含C介质膜露出所述栅极保护层顶部,且所述剩余含C介质膜作为层间介质层。
11.如权利要求10所述的半导体结构的形成方法,其特征在于,所述层间介质层的材料为SiOC或SiOCH。
12.如权利要求9所述的半导体结构的形成方法,其特征在于,所述栅极保护层的材料为氮化硅。
13.如权利要求1所述的半导体结构的形成方法,其特征在于,所述含C介质膜的厚度为1000Å至2000Å。
14.如权利要求1所述的半导体结构的形成方法,其特征在于,所述研磨牺牲层为原硅酸四乙酯层或等离子体增强氧化层。
15.如权利要求1所述的半导体结构的形成方法,其特征在于,所述研磨牺牲层的厚度为500Å至3000Å。
16.如权利要求1所述的半导体结构的形成方法,其特征在于,对所述含C介质膜进行化学机械研磨工艺后,还包括:对所述基底进行第二清洗。
17.一种如权利要求1至16任一项形成方法所形成的半导体结构。
CN201710333287.3A 2017-05-12 2017-05-12 半导体结构及其形成方法 Active CN108878363B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201710333287.3A CN108878363B (zh) 2017-05-12 2017-05-12 半导体结构及其形成方法
US15/977,484 US10553447B2 (en) 2017-05-12 2018-05-11 Semiconductor structure and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710333287.3A CN108878363B (zh) 2017-05-12 2017-05-12 半导体结构及其形成方法

Publications (2)

Publication Number Publication Date
CN108878363A CN108878363A (zh) 2018-11-23
CN108878363B true CN108878363B (zh) 2021-07-13

Family

ID=64096196

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710333287.3A Active CN108878363B (zh) 2017-05-12 2017-05-12 半导体结构及其形成方法

Country Status (2)

Country Link
US (1) US10553447B2 (zh)
CN (1) CN108878363B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111863592B (zh) * 2019-04-29 2023-11-10 中芯国际集成电路制造(上海)有限公司 研磨后清洗方法以及半导体结构的形成方法
US11139397B2 (en) * 2019-09-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal compound layers for semiconductor devices

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101659034A (zh) * 2008-08-20 2010-03-03 台湾积体电路制造股份有限公司 进行化学机械研磨的方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100378180B1 (ko) * 2000-05-22 2003-03-29 삼성전자주식회사 화학기계적 연마 공정용 슬러리 및 이를 이용한 반도체소자의 제조방법
US6924242B2 (en) 2003-10-23 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. SiOC properties and its uniformity in bulk for damascene applications
KR100583957B1 (ko) * 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
KR101154215B1 (ko) 2004-08-18 2012-06-18 다우 코닝 코포레이션 SiOC:H 피복된 기판 및 이의 제조방법
US7699897B2 (en) * 2007-09-14 2010-04-20 L'oreal Method of coloring hair
FR2929756B1 (fr) * 2008-04-08 2010-08-27 Commissariat Energie Atomique Procede de formation de materiau poreux dans une microcavite ou un micropassage par polissage mecano-chimique
JP5889118B2 (ja) * 2012-06-13 2016-03-22 株式会社東芝 半導体装置及びその製造方法
US9630295B2 (en) * 2013-07-17 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for removing debris from polishing pad
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101659034A (zh) * 2008-08-20 2010-03-03 台湾积体电路制造股份有限公司 进行化学机械研磨的方法

Also Published As

Publication number Publication date
US10553447B2 (en) 2020-02-04
CN108878363A (zh) 2018-11-23
US20180330965A1 (en) 2018-11-15

Similar Documents

Publication Publication Date Title
US8153526B2 (en) High planarizing method for use in a gate last process
JP5168966B2 (ja) 研磨方法及び研磨装置
CN102623327B (zh) 一种化学机械研磨方法
US20230377898A1 (en) Methods for reducing scratch defects in chemical mechanical planarization
US20120196442A1 (en) Chemical mechanical polishing method
CN107799461B (zh) 一种半导体器件的制造方法
CN108878363B (zh) 半导体结构及其形成方法
US20140370696A1 (en) Mechanisms for forming oxide layer over exposed polysilicon during a chemical mechanical polishing (cmp) process
CN111435639A (zh) 半导体结构及其形成方法
CN108807377B (zh) 半导体器件及其形成方法
US9337103B2 (en) Method for removing hard mask oxide and making gate structure of semiconductor devices
JP2005203394A (ja) 半導体装置の製造方法
US20080261402A1 (en) Method of removing insulating layer on substrate
CN104078346A (zh) 半导体器件的平坦化方法
JP4679277B2 (ja) 半導体装置の製造方法
CN109285773B (zh) 半导体器件的制造方法
CN108807514B (zh) 半导体器件及其形成方法
CN113113309B (zh) 半导体结构的形成方法
CN107978525B (zh) 半导体结构及其形成方法
CN111599677B (zh) 半导体结构及其形成方法
KR100623611B1 (ko) 반도체소자의 화학적기계적연마 방법
CN113130312B (zh) 半导体结构的形成方法
Lee et al. Effect of slurry pH on the defects induced during the plug isolation chemical mechanical polishing
CN112331610B (zh) 半导体结构的制备方法
US20220017780A1 (en) Composition and method for polishing and integrated circuit

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant