CN107479509A - 用于控制设备工程***的良品率预测反馈 - Google Patents

用于控制设备工程***的良品率预测反馈 Download PDF

Info

Publication number
CN107479509A
CN107479509A CN201710224894.6A CN201710224894A CN107479509A CN 107479509 A CN107479509 A CN 107479509A CN 201710224894 A CN201710224894 A CN 201710224894A CN 107479509 A CN107479509 A CN 107479509A
Authority
CN
China
Prior art keywords
parameter
batch
yield prediction
yields
product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710224894.6A
Other languages
English (en)
Other versions
CN107479509B (zh
Inventor
詹姆士·莫尼
尼古拉斯·沃德
理查德·斯塔福德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107479509A publication Critical patent/CN107479509A/zh
Application granted granted Critical
Publication of CN107479509B publication Critical patent/CN107479509B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Information and communication technology [ICT] specially adapted for implementation of business processes of specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32306Rules to make scheduling decisions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/30Computing systems specially adapted for manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Business, Economics & Management (AREA)
  • Economics (AREA)
  • Human Resources & Organizations (AREA)
  • Strategic Management (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Business, Economics & Management (AREA)
  • Theoretical Computer Science (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Manufacturing & Machinery (AREA)
  • Marketing (AREA)
  • Quality & Reliability (AREA)
  • Tourism & Hospitality (AREA)
  • Game Theory and Decision Science (AREA)
  • Operations Research (AREA)
  • Educational Administration (AREA)
  • Development Economics (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Primary Health Care (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • General Factory Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Feedback Control In General (AREA)

Abstract

良品率预测由安排及分派控制器接收,其中该良品率预测有关于制造工具和产品。基于该良品率预测在路由算法中调整该制造工具的权重。计算成本‑利润分析,其确定在该制造工具上制造将来产品的成本和利润;以及基于该成本‑利润分析,决定是否在该制造工具上处理将来产品。

Description

用于控制设备工程***的良品率预测反馈
相关申请
本申请是申请号为200980107872.5的发明专利申请的分案申请。
技术领域
本发明的实施例关于良品率预测,具体而言,关于执行响应良品率预测的自动动作。
背景技术
设备工程***(EES)通过制造机器而管理产品的加工。良品率管理***(YMS)利用参数及电气测试数据来分析良品率偏差(excursion)。在分析良品率时,某些传统YMS利用来自传统设备工程***(EES)的故障检测和分类(FDC)***的数据来向良品率工程师在分析良品率时提供附加数据。在有限数目个传统YMS中,来自FDC***的数据用来预测良品率。此良品率预测可使良品率工程师在完成产品制造之前检测潜在的良品率问题。但是,在传统的制造环境下,没有向EES子***提供预测良品率信息的机制。亦没有配备传统EES的子***来执行响应良品率预测的自动动作。
发明内容
根据本发明的一方面,一种设备,包括:用于通过批次间控制器接收良品率预测的装置,所述批次间控制器包括指定过程性能目标的过程内批次间控制模块,其中所述良品率预测与制造工具、产品或过程中至少之一相关联;用于基于所述良品率预测,通过所述过程内批次间控制模块调整与过程内批次间控制相关联的第一参数的装置,其中所述第一参数包括过程配方的处理参数,其中所述批次间控制器包括指定不同过程之间的过程间性能目标的过程间批次间控制模块,其中所述良品率预测包括良品率预测质量值;以及用于基于所述良品率预测和所述良品率预测质量值的组合确定所述第一参数的调整的装置,其中调整程度随着所述良品率预测质量值减小而减小。
根据本发明的另一方面,一种设备工程***,包括:计算装置,所述计算装置包括执行用于批次间控制器的指令的处理器,其中所述指令使所述处理器:接收来自良品率预测器的良品率偏差的指示,其中所述良品率偏差包括良品率预测质量值并且所述良品率偏差与制造工具、产品或者过程中的至少一者相关联;识别第一测量值与用于过程内批次间控制的过程性能目标之间的第一偏差,其中所述第一偏差影响所述良品率偏差;基于所识别的第一偏差调整与所述过程内批次间控制相关联的第一参数,其中所述第一参数包括过程配方的处理参数,其中所述批次间控制器包括指定不同过程之间的过程间性能目标的过程间批次间控制模块;以及基于所述良品率偏差和所述良品率预测质量值的组合确定所述第一参数的调整,其中调整程度随着所述良品率预测质量值减小而减小。
根据本发明的再一方面,一种方法,包括:通过批次间控制器接收良品率预测,所述批次间控制器包括指定过程性能目标的过程内批次间控制模块,其中所述良品率预测与制造工具、产品或过程中至少之一相关联;基于所述良品率预测,通过所述过程内批次间控制模块调整与过程内批次间控制相关联的第一参数,其中所述第一参数包括过程配方的处理参数,其中所述批次间控制器包括指定不同过程之间的过程间性能目标的过程间批次间控制模块,其中所述良品率预测包括良品率预测质量值;以及基于所述良品率预测和所述良品率预测质量值的组合确定所述第一参数的调整,其中调整程度随着所述良品率预测质量值减小而减小。
附图说明
通过在附图中以示例方式而非以限制方式来说明本发明,在附图中:
图1图示制造环境的示例架构,本发明的实施例可操作于其中;
图2图示另一制造环境的示例架构,本发明的实施例可操作于其中;
图3根据本发明的一个实施例,示出良品率预测器如何使用部分最小平方(PLS)的示例;
图4A根据本发明的一个实施例,示出可图形配置的事件-条件-动作(ECA)策略引擎;
图4B根据本发明的一个实施例,示出第4A图的可图形配置ECA策略引擎采用的用于蚀刻压力检查的实例数据;
图5A根据本发明的一个实施例,示出显示良品率相对回蚀(etchback)时间的良品率分布图;
图5B-5E根据本发明的实施例,示出实例良品率结果;
图5F图示R2R控制模块的阶层式安排;
图5G根据本发明的一个实施例,示出显示工厂利润作为良品率因子权重的函数的图;
图6根据本发明的一个实施例,示出利用移动窗口技术更新良品率预测模型;
图7A根据本发明的一个实施例,示出制造半导体产品的过程流程图;
图7B根据本发明的一个实施例,示出制造半导体产品的过程流程图;
图8图示用于响应良品率预测而由EES的子***执行自动动作的方法的一个实施例的流程图;
图9图示用于响应良品率预测而调整一批次间(R2R)控制器的方法的一个实施例的流程图;
图10图示用于通过S/D控制器通过制造机器绕送产品的方法的一个实施例的流程图;
图11图示响应预测的良品率偏差,对制造机器的安排维护的方法的一个实施例的流程图;
图12图示追踪设备性能的方法的一个实施例的流程图;
图13A图示响应良品率预测由策略引擎自动执行动作的方法的一个实施例的流程图;
图13B图示响应良品率预测由策略引擎自动执行操作的方法的另一个实施例的流程图;以及
图14根据本发明的一个实施例,示出示例计算机***的方块图。
具体实施方式
本文描述一种用于响应良品率预测而执行自动动作的方法和装置。基于与制造过程、制造工具及/或所制造产品相关联的数据,产生后段良品率预测。将该后段良品率预测传送到设备工程***的一或多个组件。在一个实施例中,由安排及分派控制器接收该良品率预测。基于该良品率预测,在路由算法中调整该制造工具的权重。计算成本-利益分析,其识别在该制造工具上制造将来产品的成本和利益。基于该成本-利益分析,决定是否在该制造工具上处理将来产品。
在以下描述中,阐述大量细节。但是,对于本领域的一般技术人员,应该明白本发明可以不需要这些具体细节而实行。在一些实例中,以方块图形式显示公知结构和装置,而没有详细描述,以避免与本发明混淆。
以下详细描述的一部分以操作算法和符号表示的形式提供,该操作是对计算机内存中的数据位进行。除非具体陈述,从以下讨论中可明白,可理解在整个说明书中,采用诸如“收集”、“预测”、“执行”、“调整”、“比较”等的讨论是指计算机***或类似的电子计算装置的动作和处理,其将表现为在计算机***的缓存器和内存中的实体(电子)量的数据操纵并转换为其它类似表现为在计算机***内存或缓存器或其它此种信息储存器、传输或显示装置中的实体量。
本发明也关于一种用于执行本说明书操作的装置。此装置可以为所需要用途而特别构成,或者其可以包含能被储存在计算机中的计算机程序选择激活的或再配置的通用计算机。此种计算机程序可以被储存在计算机可读储存媒体中,例如(但不限于)包括软盘、光盘、CD-ROM和磁性光盘等任何类型盘片、只读存储器(ROM)、随机存取内存(RAM)、EPROM、EEPROM、磁卡或光学卡,或适合于储存电子指令的任何类型的媒体,每一者均耦合到计算机***总线。
在本说明书中提出的算法和显示本质上不与任何特定计算机或其它装置相关。各种通用***可根据本文的教导与程序结合使用,或者可以证实建构更专用的装置来执行所需要的方法步骤是方便的。将在以下说明中阐述揭示用于各种这些***的所需结构。另外,本发明不参考任何特定程序设计语言描述。可以理解,多种程序设计语言可以用来实现如本说明书中所描述的本发明的教导。
本发明可以作为计算机程序产品或软件提供,其可以包括其中储存指令的机器可读媒体,该指令可以用来程序化计算机***(或其它电子装置)以根据本发明执行处理。机器可读媒体包括用于储存或传输由机器(例如计算机)可读形式的信息的任何机制。例如,机器可读(例如计算机可读的)媒体包括机器(例如计算机)可读储存媒体(例如只读存储器(“ROM”)、随机存取内存(“RAM”)、磁盘储存媒体、光储存媒体、闪存装置,等等)、机器(例如计算机)可读传输媒体(电、光、声或其它传播形式的信号(例如载波、红外信号、数字信号,等等))。
图1示出制造环境100的示例架构,本发明的实施例可操作于该环境中。制造环境100可以为半导体制造环境、自动制造环境,等等。在一个实施例中,制造环境100包括设备工程***(EES)105、制造执行***(MES)110、良品率管理***(YMS)120和合并数据储存器115。可经由网络(未示出),例如公共网络(例如互联网)、私有网络(例如以太网或局域网络(LAN)),或其组合来连接EES 105、MES 110、YMS 120和合并数据储存器115。
制造执行***(MES)110可用来在制造环境中测量并控制生产动作的***。MES110可以控制某些生产动作(例如关键生产动作)或一组制造设备(例如在半导体制造装备的所有光刻设备)的所有生产动作、制造装备(例如自动生产工厂)的所有生产动作、整个公司的所有生产动作等等。MES 110可以包括手工和计算机化离线及/或在线交易(transaction)处理***。此***可包括可执行过程相关功能的制造机器、测量装置、客户端计算机装置、服务器端计算机装置、数据库等等。
在一个实施例中,MES 110与合并数据储存器115连接。合并数据储存器115可以包括数据库、档案***或其它在非挥发性内存(例如硬盘驱动器、磁带驱动器、光驱动器等等)、挥发性内存(例如随机存取内存(RAM)),或其组合。在一个实施例中,合并数据储存器115包括来自多个互连数据储存器(例如YMS数据储存器、维护数据储存器、测量数据储存器、处理数据储存器等等)的数据。合并数据储存器115例如可以储存制造配方的历史处理信息(例如温度、压力、所用化学制品、处理时间等等)、设备维护历史、物品清单等等。合并数据储存器115还可储存由MES 110、YMS 120、EES 105生成的数据。例如,EES 105可以在合并数据储存器115中储存故障检测和特征数据,YMS 120可以在合并数据储存器115中储存良品率分析数据,而MES 110可以在合并数据储存器115中储存历史处理信息。此允许YMS120、EES 105和MES 110中的每一者利用由其它***生成的数据。
EES 105是管理工厂的部分或全部运作的***。EES 105可以包括手工或计算机化的离线及/或在线交易处理***,其可以包括客户端计算机装置、服务器计算机装置、数据库等等,其可以执行设备跟踪、分派(例如决定什么材料进行什么处理)、产品归类、劳力跟踪(例如个人安排)、库存管理、成本、电子签名获取、缺陷和精度监视、关键性能指示器监视和报警、维护安排等诸如此类。
EES 105对在合并数据储存器115中收集和组合的信息及/或由MES 110报告测量数据和处理数据进行推断、报告及/或动作。例如,EES 105可作为早期报警***(例如预测废料、激活产品重做等),提供瓶颈分析,提供资产管理(例如减少未安排的设备停工期),改进节约实务等等。EES 105可用于增加对制造环境100的了解,并可以使使用者确定制造环境100的效率及/或改进制造环境100的所有或部分组件。在一个实施例中,EES 105包括使EES 105检测故障、分类故障和预测良品率的组件。以下将参考图2详细说明此功能。
良品率管理***(YMS)120分析例如电气测试数据的后段数据来确定产品良品率。后段数据可包括晶片接受度测试(WAT)、晶片排序结果及/或最终测试动作。良品率管理器120可提供产品良品率趋势、产品良品率的批次级别分析、与制造过程相关的良品率、良品率统计分析等等。在一个实施例中,YMS 120利用集成电路设计、可视缺陷、参数和电气测试数据来识别低良品率的原因。YMS 120可以通过监视良品率、将良品率损耗与缺陷及参数数据相关联、将缺陷及参数数据与过程及工具相关联而改进良品率。如果产品良品率过低,则良品率管理***120可以向EES 105报告低良品率。此报告可以包括指示低良品率的关键因素。EES 105然后可以着手增加良品率。YMS 120在合并数据储存器115中储存良品率数据,并可以存取由MES 110和EES 105储存的数据以更好地对任何良品率问题进行疑难排解。
图2示出制造环境222的示例架构,本发明的实施例可操作于该环境中。在一个实施例中,制造环境222包括MES 210、YMS 220、EES 205和合并数据储存器215。在另一个实施例中,制造环境222对应图1的制造环境200。
在图2的MES 210中,示出制造机器280和测量工具285。在半导体制造环境中的制造机器实例包括植入机(implanter)、热反应器、蚀刻机、光刻机和用于制造半导体产品的其它机器。精密测试工具的实例包括椭圆率测量仪、干涉仪、扫描电子显微镜(SEM)等等。虽然MES 210显示仅包括制造机器280和测量工具285,但是其还可以包括客户端计算机装置、服务器端计算机装置、数据库等等,为了清楚起见,并没有将它们显示出来。测量工具285、制造机器280和MES 210的附加装置经由一或多个接口链接到设备自动控制层224。接口的实例包括半导体设备通讯标准(SECS)接口、用于制造设备的通讯及控制的通用模型(GEM)接口、SECS/GEM接口290、EDA(“接口A”)接口295、高速SECS讯息服务(HSMS)接口等等。
设备自动控制层224互连制造机器280、测量工具285和其它装置,并将它们链接到合并数据储存器215、EES 205及/或YMS 220。在一个实施例中,设备自动控制层224使过程数据(例如由制造机器280在过程批次时收集的数据)和测量数据(例如由测量工具285在过程批次之后收集的数据)储存在合并数据储存器215,并向EES 205的故障检测与分类(FDC)组件226报告。此数据可以在被收集时实时地报告到FDC组件226。
在一个实施例中,EES 205包括故障检测与分类(FDC)组件226、良品率预测器228和工厂范围控制器230。EES 205还可以包括使用者接口298,其可以将来自多个不同信息源(例如YMS 220、MES 210、EES 230等等)的信息组合。
FDC组件226可以在数据被收集及/或来自合并数据储存器215时,从设备自动控制层224中实时地接收数据。该数据可包括由制造机器在制造批次期间已经收集的过程数据及/或在制造批次之后收集的测量数据。在制造机器280上执行的每一制造过程由制造机器280上的传感器测量的各实体条件和属性,并且由各操作参数(共同称为过程数据)来表征。由传感器测量的每一不同过程变量,以及每一操作参数可以为该过程数据的不同过程变量。表示传感器数据的过程变量实例包括腔体压力、基座温度、RF正向功率(forwardpower)以及RF反射功率(reflected power)。表示操作参数的过程变量的实例包括(例如化学试剂(chemical reagent)的)流率设置,以及(例如用于腔体排气真空泵的)节流阀设置。在制造机器280上制造产品后,可由测量工具285分析该产品,以测量产品的一或多个属性。由此分析产生的测量在本说明书中称测量数据。测量数据的实例包括厚度测量(例如由椭圆率测量仪测量)、颗粒计数测量(例如由扫描电子显微镜(SEM)测量)、晶片曲率测量(例如由干涉仪测量),等等。
FDC组件226可以使用统计过程监视(一种对过程数据和测量数据执行统计分析的方法)、遗传算法、神经网络等等以检测及/或诊断故障。故障可以为制造机器280的出错或失调(例如机器的操作参数与期望值的偏差),或者指示需要预防维护以避免即将到来的出错或失调。当一或多个最近过程数据及/或测量数据的统计值与统计模型偏差到足够大的量,使得模型量度超出各个可信度阈值时,检测到故障。模型量度是纯量数字,其值表示在过程/测量数据的统计特征与由模型预测的统计特征之间的偏差幅度。
一旦检测到故障,FDC组件226将该故障与故障表征集相比较。每一故障表征表示代表具体一个故障或多个故障的过程条件。当在故障表征之一与当前故障之间存在高度相似性时,报告匹配,并将该故障分类。作为替代方案,FDC组件226可以使用统计摘要技术(statistical summary techniques),其然后被匹配到先前发生的故障来找到最接近的故障。
在一个实施例中,FDC组件226包括虚拟测量模块(未示出),其利用虚拟测量来基于其它测量数据及/或过程数据预测测量数据。虚拟测量模块采用故障检测/分类数据(包括关于检测到的故障的信息,例如故障原因、故障的识别/分类等等)和上游测量数据二者作为输入,并产生测量数据值的预测作为输出。当实际测量数据可用时,可更新该虚拟测量预测模型。
FDC组件226将故障检测和分类数据传送到良品率预测器228。FDC组件226还可以将虚拟测量数据、过程数据及/或真实测量数据传送到良品率预测器228。在一个实施例中,FDC组件226运用数据减少技术来减少传送到良品率预测器228的数据量。作为替代方案,可通过良品率预测器228执行此数据减少。可通过将数据(例如测量数据、虚拟测量数据、过程数据等等)合并到重要性指示符(indicator of importance)来执行数据减少。例如,可将温度测量过程数据合并到整个过程批次的平均温度,或者将多个不同传感器测量合并以提供工具状况的单一多变量指示符。在一个实施例中,FDC组件226基于从良品率预测器228接收的反馈决定何种合并数据是关于预测良品率。因此,FDC组件226可以将传送到良品率预测器228的信息限制为仅包括与良品率预测相关的合并数据。
良品率预测器228分析所接收到的数据以形成产品的良品率预测。良品率预测器228将过程数据和测量数据与一或多个良品率预测模型相关,以形成良品率预测。测量数据可以包括下游测量数据(在过程批次之前收集的)、上游测量数据(在过程批次之后收集的)及/或虚拟测量数据。当过程被执行或者在该过程已经结束后来自合并数据时,过程数据可以被FDC组件226收集并处理。
良品率预测模型可以利用主要组件分析(PCA)、多线性回归(MLR)、神经网络(NR)、贝氏(Bayesian)分析、部分最小平方(PLS)等方法预测良品率。可以从YMS 220接收基线良品率预测模型。当产品良品率数据变得可用时,YMS 220可以修改该良品率预测模型,并将更新的良品率预测模型传送到良品率预测器228。因此,由良品率预测器228所使用的良品率预测模型可以为动态良品率预测模型。
在一个实施例中,良品率预测器利用PLS形成良品率预测。PLS如何工作的实例在图3中示出。参考图3,在一个具有“p”个输入(在预测感兴趣的过程数据、测量数据及/或虚拟测量数据)和“q”个输出(感兴趣的良品率预测器)的***中,相对较小的“a个”组件集被用来将输入变量与输出变量相关。这些组件可以被认为大致为对输出空间中变异尺寸影响最大的输入空间中的变异尺寸。
参考图2,在一个实施例中,该良品率预测模型包括多个良品率偏差事件及/或良品率偏差条件。当过程数据及/或测量数据指示特定良品率偏差时,激活良品率偏差事件。例如,如果通过过程数据及/或测量数据显示某组条件,可激活由于Ti/N剥落导致的良品率偏差事件。每一良品率偏差事件可与一或多个良品率偏差条件相关联。如果相关联的良品率偏差事件被激活,并且如果满足一或多个附加条件,则激活良品率偏差条件。作为替代,如果激活良品率偏差事件,则自动激活某些良品率偏差条件。
良品率预测器228以各种变化的精度预测良品率。良品率预测的可信度(预测精度)可依赖于许多变量,例如当进行良品率预测时产品距完成的接近度、用于进行预测的数据的品质、对相对较新的产品进行预测还是对过去已经多次成功制造的产品进行预测,等等诸如此类。在一个实施例中,良品率预测器228对每一良品率预测确定预测品质。以后可结合良品率预测使用该良品率预测品质来决定由EES子***执行哪些(如果有)自动动作。
在一个实施例中,对所选择的产品,利用晶片测试图案来突出某些产品的特征。该晶片测试图案提供可以用来更精确预测良品率的附加数据。可以随产品在相同的过程批次中制成晶片测试图案。如果晶片测试图案的测试指示异常,则可认为该异常还将影响到产品。可以将来自晶片测试图案的数据整合到良品率模型中。例如,在良品率预测模型中,晶片测试图案中的异常可以与具体良品率偏差相关联。此可以使良品率预测器228以更高的可信度进行良品率预测。
在一个实施例中,将FDC组件226和良品率预测器228组合为单个组件。在此实施例中,可利用相同的模型来进行故障检测和分类、虚拟测量和良品率预测。或者,可以为故障检测和分类、虚拟测量及/或良品率预测的一或多者采用不同模型。在一个实施例中,FDC组件226包括一或多个统计模型,其具有与良品率偏差相关的度量。因此,FDC组件226可以向工厂范围控制器230提供设备和过程状况良好信息,而同时向良品率预测器228提供输入。
工厂范围控制器230从FDC组件226接收故障检测和故障分类数据(其可包括虚拟测量数据),并从良品率预测器228接收良品率预测数据。工厂范围控制器230负责基于所接收的故障检测/分类数据和良品率预测数据初始化修改制造环境200的组件的动作。通过这些动作,工厂范围控制器230可以自动的形式改进产品产量和品质。在一个实施例中,这些动作系以智能型商业规则形式,其可以通过实时***事件、预测事件或者安排动作而激活。例如,当在所接收的数据中检测到某些值时,工厂范围控制器230可自动地安排对制造机器280的维护,自动关闭制造机器280,自动调整过程配方等等。在另一实例中,良品率预测可用作反馈数据,以从逐批(lot-to-lot)控制到晶片间(wafer-to-wafer)控制增大批次间(run to run)(R2R)控制,以增大维护管理***及/或增大再安排将处理产品的制造机器。该操作还可最佳化维护安排、安排和分派决定、过程控制等等。
虽然在良品率预测领域中的最佳化算法可变得极复杂,但是可将良品率预测数据初始调整到相对简化的算法,而仍然提供显著的优点。例如,可利用与具体过程相关的良品率阈值来产生执行/不执行决定,以改进品质和产量,并使废料最少。在另一实例中,可利用良品率趋势来在批次间(R2R)过程控制算法中导入保守调整指示,其将导致在整个制造环境222进行处理调整,其被导向工厂范围生产(而不是单个过程能力)目标。
工厂范围控制器230可以包括灵活的和可伸缩的能力来将多个不同EES子***整合,并包括用于管理这些子***的共同作业以达成工厂范围指示的机制。在一个实施例中,工厂范围控制器230使得在良品率预测输出和EES子***(例如维护管理、安排和分派控制以及经由策略引擎235的过程控制)之间产生互动。策略引擎235连接到多个不同控制器,其中每一个控制EES 205的不同子***。例如,批次间(R2R)控制器260控制R2R***,安排和分派(S/D)控制器265控制安排和分派***,计算机维护管理***(CMMS)控制器270控制CMMS,设备性能跟踪(EPT)控制器275控制EPT***,等等。在一个实施例中,策略引擎235作为该控制器的监管***。
每一EES子***的能力可协作使用,以达成工厂的最佳化重配置来支持良品率目的。在一个实施例中,坚持灵活的整合标准,例如国际半导体设备及材料(SEMI)E133过程控制***(PCS)标准和网络服务(例如包括网络服务调用),可以协助在该控制器、良品率预测器228及/或制造环境222的其它组件之间的相互操作。作为协作利用良品率预测的实例,策略引擎235可以传送良品率预测到R2R控制器260、S/D控制器265和CMMS控制器270。R2R控制器260可以利用良品率预测来决定哪些工具可以被有效修改以支持具体的过程变动,以及哪个过程变动将表现为周期时间、资源需求等等。然后可由策略引擎235对此信息加权,此加权相对于到达顺序量和优先权,以及由S/D控制器165提供的在制品(WIP)。CMMS控制器270然后可决定预测维护排列的和计划的预定时间表。利用来自R2R控制器260、CMMS控制器270和S/D控制器265的信息,策略引擎235可决定每一工厂目的的最佳化解决方案。可通过加权的成本函数,或启发式地通过一组工作流规则而形成此决定。策略引擎235然后可通知三个生产决定控制器,并且这些控制器可以相应地调整较低级别的控制目标、预测维护安排和S/D决定。
在一个实施例中,策略引擎235是事件-条件-动作(ECA)策略引擎,其提供高度可配置和可伸缩机制来定义在制造环境200中对资源的合作利用。ECA策略引擎采用响应在工厂的事件而激活的“控制规则”。这些规则基于对服务事件相关的条件,而在序列中调用可用资源。例如,如果检测到特定良品率偏差事件,则ECA策略引擎可调用通知资源以将良品率偏差事件通知负责工程师,调用维护***来请求故障制造机器安排来做维护,调用安排/分派控制器来提议WIP和尤其是重点批次围绕此工具被安排,向R2R控制器提供信息以使利用该工具达成“最佳”良品率,直至该工具被停止用于维护等等。
在一个实施例中,策略引擎235是ECA策略引擎,所接收的良品率预测数据包括良品率偏差事件和一或多个良品率偏差条件。该良品率偏差事件和良品率偏差条件可由策略引擎235使用以响应良品率预测而动作。在另一个实施例中,良品率偏差条件之一是良品率预测品质。因此,如果接收到具有指定良品率预测品质阈值的良品率预测,则仅执行某些动作。
图4A根据本发明的一个实施例,示出可图形配置的事件-条件-动作(ECA)策略引擎400。ECA策略引擎400包括图形拖放工具,其用于对规则简单开发、可视化和重配置。可以从左边的托盘(pallet)将表示EES子***能力(例如故障检测和安排维护)的图标拖动到工作区。结果“规则”定义过程环境如何响应事件而操作。
接口400示出用于蚀刻压力检查事件(其数据在图4B中示出)的范例规则集。所示出的规则集首先执行故障检查405。故障检查405检查任何关键警报。如果对应关键警报的条件被识别,则工具关闭410。在示出的实例中,关闭工具410包括更新设备性能跟踪***,发送MES工具关闭信息,并更新CMMS***。如果对应警告警报的条件被识别,则设置PM以暂停415,其安排维护和管理制造机器的状态。因此,利用ECA技术和图形化ECA策略引擎可使使用者以可重配置和非程序化的方式来定义EES子***的相互操作性。
回到图2,当预定的事件产生并且满足预定的条件时,策略引擎执行一个或一组操作。这些操作可同时或串行发生。当某些操作完成后,来自该操作的反馈可被传送到策略引擎,并且可以基于该反馈执行随后的操作。
在一个实施例中,策略引擎235通过向EES 205的子***的控制器传送命令及/或信息而执行操作。该命令的种类以及附随该命令的信息类型视所传送命令及/或信息的控制器而定。例如,可向CMMS控制器270传送导致良品率偏差的制造机器280的识别信息、有关制造机器280上所出现问题的可能原因的建议,以及在制造机器280上安排维护的指令。同时,将制造机器280与预测良品率相关联的性能量度可被传送到S/D控制器265,与此相响应,S/D控制器265可在该维护被执行之前重计算在制造机器280上处理产品的成本/利润分析。可将其它数据及/或命令也传送到R2R控制器260,以修改在制造机器280上运行的过程配方,传送到EPT控制器275以为制造机器280调整设备性能跟踪分级,等等。
批次间(R2R)控制器260执行R2R控制,其被定义为修改配方参数的技术或者在过程之间选择控制参数以改进过程性能。“批次(run)”可以为一组、一批或单个晶片的制造过程。R2R控制器260可以控制与被生产产品的品质相关的任意组参数。因此,与例如CMP最终厚度和最终厚度一致性等特定过程相关的参数,以及例如CD、CD一致性、电特性、产量以及良品率的较通用的参数都可以由R2R控制器260控制。
R2R控制器260利用其控制的***、过程及/或机器的动态模型,以决定修改哪些参数以及如何修改它们。R2R控制模型可以写成如下形式:
(1)(Y1,Y2,...,Yi,...,Ym)=f(X1,X2,...,Xj,...,Xn)
其中每一Yi表示受控的品质变量输出,而每一Xj表示可被调整以提供该控制的品质变量输入。
在一个实施例中,R2R控制器260包括多个批次间(R2R)控制模型(未示出)。每一R2R控制模块可管理用于不同过程、过程组、制造工具等等的R2R控制。例如,R2R控制器260可包括管理CMP过程的CMP R2R控制模块、管理蚀刻过程的蚀刻R2R控制模块,以及管理在CMP过程和蚀刻过程之间一致性控制的过程间R2R控制模块。因此,可调整输入Xj可以为传统意义下的设备设置,例如控制设备设置(例如温度、压力、气流速率等等)的过程配方参数,且也可以为较不传统的参数,例如较低级R2R控制模块的增益或目标。
在一个实例中,R2R控制器可以包括在两个不同过程中的不同R2R控制模块,影响到对良品率有影响的相同特征,但以不同方式影响该特征。该过程可以是蚀刻之前的光刻,特征为关键尺寸(CD)。在此情况下,可以存在于光刻中的CD图案化步骤,随后为蚀刻时的回蚀过程步骤。此两个过程的联系之处在于,在光刻中设置图案,使得在蚀刻时对开口“回蚀”某些次数,以达到关键尺寸(CD)靶。利用R2R控制可以控制此两个过程。在此实例中,回蚀步骤引入CD中关于靶的变量,但提供CD的径向一致性的机会(即晶片中心和外边缘之间在CD中差异最小化)。通常,受控的回蚀步骤越长,则关于靶的CD变异越高,但中心到边缘CD一致性越好。
图5A根据本发明一个实施例,示出良品率分布图500,显示良品率相对回蚀时间的关系。进入光刻过程的晶片可以具有引入的变异和一致性。良品率可以为CD到靶的接近度的函数。因此,基于所预测的良品率,可以调整吾人的光刻CD靶和回蚀靶,以得到在CD变异和CD一致性之间的平衡,由此改进良品率,如在第5A图标出。具体而言,从整个晶片的良品率损失曲线的预测,***可调整吾人的回蚀大小(以及因此调整时间)以改进良品率。例如,如果该预测示出零星的整个晶片良品率损失,则采用较低的回蚀量(对于较低变异);如果该预测示出良品率损失在中心和外侧(揭示一致性问题),则增加回蚀量(为了较低非一致性)。
可根据以下等式操作两个实例R2R控制模块:
一般,晶片
(2)CDslope=(CDcenter-CDedge)/150mm
(3)CDvariation=CDmax-CDmin,均匀分布(为简洁起见)
(4)CDproduct=为产品所指定的最终CD
在光刻中
(5)CDave-postlitho=(CONSTcd-litho+ExposeRate*ExposeTime)nm
(6)CDtargetlitho=CDtargetpostetch-CDetchbacktarget
(7)CDslope-prelitho=CDslope-postlitho(均匀性不受光刻影响)
(8)CDvariation-prelitho-CDvariation-postlitho(可变性不受光刻影响)
蚀刻中
(9)CDslope-postetch=CDslope-postlitho-RATEdifferential-etch XTIMEetch
(10)CDave-postetch=CDave-postlitho+RATEetch X TIMEetch
(11)CDvariation-postetch=CDvariation-postlitho+(VARIATIONetch XTIMEetch)
良品率预测
(12)If(CDupperlimit=<CDpostetch=<CDlowerlimit then DieYield==TRUE
Else DieYield==FALSE
(13)0=<YieldPredConfidence=<1
其中Die(晶粒)良品率将为该晶粒的CDpostetch的函数,其是CDave-postetch和CDvariation-postetch的函数,并且晶粒良品率是是/否的判定。
在一个实施例中,等式(2)到(11)用来估计晶片参数,而不必包含控制器参数。使用这些等式的***实例可按以下方式实现(其中“方块”指的是在具体实施时的模块):
A.设置一个具有可设置变量CDslope-prelitho(或CDcenter-prelitho和CDedge-prelitho)、CDvariation-prelitho、CDproduct(初始靶CD)的数据输入方块。
B.设置一个实现等式(5)-(8)的光刻工具模型方块。CONSTcd-litho、ExposeRate和CDetchbacktarget为常量,或者需要在此具有初始值,从而将为可设置的(或者将其置于上面的输入方块)。初始时CDtargetpostetch==CDproduct。初始计算ExposureTime,使CDave-postlitho==CDtargetlitho。
C.设置一个实现等式(9)-(11)的蚀刻工具模型方块。RATEdifferential-etch、RATEetch和VARIATIONetch为常量,或者需要在此具有初始值,从而将为可设置的(或者将其置于上面的输入方块)。初始计算TIMEetch,使CDave-postetch=CDproduct。
D.设置一个良品率预测方块,其利用来自蚀刻方块的CDslope-postetch、CDave-postetch和CDvariation-postetch以决定哪个晶粒是好的/坏的。CDupperlimit、CDlowerlimit和YieldPredConfidence为常量,或者需要在此具有初始值,从而将系可设置的(或者将其置于上面的输入方块)。采用蚀刻方块输出CD值。为每一晶粒预测CD如下:
a.一个中心晶粒:CD1=CDave-postetch+[(CDslope-postetch X(75-0)]+CDvariation X[UNIF(0,1)-0.5]
b.围绕中心的4个晶粒:CD2=CDave-postetch+[CDslope-postetch X(75-50)]+{CDvariation X[UNIF(0,1)-0.5]}
c.在中间的8个晶粒:CD3=CDave-postetch+[CDslope-postetch X(75-100)]+{CDvariation X[UNIF(0,1)-0.5]}
d.围绕边缘的16个晶粒:CD4=CDave-postetch+[CDslope-postetch X(75-150)]+{CDvariation X[UNIF(0,1)-0.5]}
可预测在被处理晶片上的每一晶粒的良品率。然后可以在晶片上每一晶粒环上执行比较良品率分析。良品率反馈逻辑(例如R2R控制器)然后可执行反馈规则并决定对控制环境作什么调整(例如如果分析指示径向非一致性是良品率损失的主要来源,则增加回蚀尺寸靶)。
具体而言,在以上的实例中,良品率分析方块可产生良品率预测反馈至R2R控制***,其所预测的良品率和预测可信度对控制环境作改变。可以在此方块中实施的规则范例为:
A.IF良品率损失<YL-upperthreshold THEN不处理,ELSE
B.IF良品率损失=变量THEN降低CDetchbacktarget
a.蚀刻工具添加过多变异,而一致性不是问题,所以在蚀刻步骤上降低;该量取决于预测可信度和EWMA加权因子。
C.IF良品率在中心和边缘损失THEN增加CDetchbacktarget
a.一致性控制是问题,并且蚀刻工具需要更多时间进行其工作;该量取决于预测可信度和EWMA加权因子。
D.IF良品率损失仅在中心环THEN增加CDtarget-postetch
a.此较复杂;假定良品率损失是一单边分布,则该***对靶复位中心以试图并且得到在可接收良品率限制以内的晶粒。
E.IF良品率损失仅在边缘环THEN降低CDtarget-postetch
a.方法相同,但图形偏移向另一方向。
在以上描述的实例中,考虑了两种初始***条件,即(1)具有较低变异,但较高径向非一致性的受控过程,以及(2)具有较低径向非一致性和较高变异的受控过程。在这两个情形下,对同心环中的晶粒计算晶片的良品率。
图5B-5E图示基于以上描述实例的实例良品率结果。在图5B和图5C示出施加到回蚀受控过程(其具有较低变异,但较高径向非一致性)的良品率预测反馈(基于良品率预测对R2R控制参数调整)的结果。图5B图出回蚀靶增加(因此蚀刻时间增加),导致径向非一致性改进,即在环中的平均CD分布减小。但同时,在每一环中的CD变异增加。最终达到满意的良品率级别,并且在回蚀靶的调整减小。图5C图示此实例的回蚀时间、光刻曝光时间和良品率的演进。如所显示,随回蚀时间增加,光刻曝光时间减小。而且,如所显示,随良品率增加(随CD的非一致性和变异之间的平衡改进)直至达到满意级别。注意此控制过程相当于周游图5A的曲线左侧。
在图5D-5E示出对具有较低非一致性和较高变异的回蚀受控过程上施加良品率预测反馈的结果。图5D图示回蚀靶减小(且因此蚀刻时间减小)导致在每一环具有较低的变异。但是,同时在环中的平均CD的分布增加,指示非一致性增加。最终达到满意的良品率级别,且在回蚀靶中的调整减小。图5E图示此实例的回蚀时间、光刻曝光时间和良品率的演进。如所显示,随回蚀时间减小,光刻曝光时间增加。而且,如所显示,随良品率增加(随CD的非一致性和变异之间的平衡改进)直至达到满意级别。注意此控制过程相当于周游图5A的曲线右侧。
在一个实施例中,R2R控制器260包括三个级别的R2R控制模块:工厂级别R2R控制模块、过程间R2R控制模块和过程内R2R控制模块。例如,过程间R2R控制模块管理在CMP工具和蚀刻工具之间的一致性控制,其可响应蚀刻工具的品质一致性输出而调整CMP R2R控制模块的目标(Yi参数)。因此,过程间R2R控制模块可导致CMP设置被修改为对蚀刻过程非一致性的提前补偿。在一个实施例中,R2R控制模块的输出及/或输入被加权,例如使得品质可以为加权后的目标函数。
图5F图示R2R控制模块的阶层式结构502的一个实施例。R2R控制模块的阶层式结构提供对工厂级别的品质参数的工厂范围控制505、过程间级别品质参数的过程间控制510和过程内级别品质参数的过程控制515。
较低级控制模块的目的不会总是与较高级控制模块的目的相符。例如,改善CMP工具的一致性未必能保证改善线良品率。因此,在工厂范围R2R控制***的最佳化配置的一个实施例中,使较高级别的控制模块调整较低级别控制模块的参数,作为其控制部分及/或最佳化功能。
在一个实施例中,在R2R控制的最高级,品质参数包括一或多个良品率指示器。此允许直接用过程控制处理良品率问题,而不是通过过程和设计变更。可选择良品率预测器与最高级别的R2R控制模块的良品率品质参数相符。因为良品率预测从制造实际产品期间提供的故障检测和分类数据中得到,所以预测的良品率信息可以R2R控制频率而用于控制器(例如在任何制造或测量产品时,有新数据可用)。此允许支持良品率参数的自动多级R2R控制。注意,在生产的每一步骤良品率预测可用来调整与生产步骤相关联的(下级)控制参数,并且还可用来调整控制器以支持下游校正(例如校正后续步骤),或提供其它能够协助改进当前和将来过程批次良品率的控制决定。
在一个实施例中,工厂R2R控制模块520对产品或产品类型提供工厂级控制。当接收到新的故障检测和分类数据以及/或新的良品率预测时,工厂R2R控制模块520可调整高级别参数以改进所预测的良品率。这些调整可修改一或多个过程间级别控制模块(例如一致性R2R控制模块525、CD R2R控制模块530等等)及/或过程级别控制模块(例如沉积R2R控制模块535、CMP R2R控制模块540、光刻R2R控制模块545、蚀刻R2R控制模块550等等)的目标及/或设置。过程间级别控制模块又可调整参数以符合由工厂R2R控制模块520提供的新目标及/或设置,其可导致进一步改变过程级别控制模块的目标及/或设置。过程级别R2R控制模块然后可以响应新的目标和设置来调整单个配方、制造机器的参数。例如,沉积R2R控制模块535可以调整一或多个沉积制造机器的参数,CMP R2R控制模块540可以调整一或多个CMP制造机器560的参数,等等。
在一个实施例中,过程间级别一致性R2R控制模块525控制在CMP和蚀刻过程之间的一致性。一致性R2R控制模块525调整CMP R2R控制模块540和蚀刻R2R控制模块550的CD靶、控制器增益和目标参数(输出)的加权,从而控制蚀刻后CD。一致性R2R控制模块525接收一或多个由预测的良品率偏差事件(其预测由于缺少CD一致性,光刻后将有良品率问题)导致的操作。一致性R2R控制模块525为随后的蚀刻R2R控制模块550调整一致性目的的标的和加权。作为响应,蚀刻R2R控制模块550在蚀刻机器570上调整配方参数以使它们与新的目标和加权相符,因此避免由于CD非一致性带来的良品率损失。
请注意,对于任何预测***,良品率预测的品质很重要。在一个实施例中,R2R控制器(包括所有R2R控制模块)考虑良品率预测品质,并相应地对控制良品率参数调整权重。可利用虚拟测量增强R2R控制所采用的技术来执行此加权。
重回到图2,S/D控制器265利用关于来自ERP***的产品订单(成本、数量等等)、产品过程流程需求、工具可用性、与制造机器及/或产品相关的产品良品率,以及产量需求的信息,来决定在制造环境222中对每一制造机器280的安排和分派。S/D控制器265将此信息运用到安排和分派策略(S/D策略),该策略控制将产品指派到制造机器280。在一个实施例中,S/D控制器265可以动态地且可调适地重新安排(re-route)产品的传送路径,以不断地从安排观点来最佳化制造环境222。动态调整包括在进行制造时对该S/D策略进行线上(on-line)调整。调适调整包括基于反馈信息(例如良品率预测)形成的调整以向具体最佳化函数提供最佳化S/D策略。
在一个实施例中,S/D策略的最终目的乃最佳化工厂利润。在向客户提交具有可接受良品率产品时,存在相关利润。存在与废料(具有不可接受良品率的晶片)相关的成本,以及作为逾期交付产品的代价相关的成本。因此,S/D策略的目标是在最短的时间内交付最大量的高良品率产品。
S/D控制器165初始可以考虑将产品分派到所有能够对产品执行特定处理的制造机器。S/D控制器165可以基于一或多个决定性分派规则而避免考虑某些制造机器。例如,将处于维护下的或者没有功能的制造机器从考虑对象中移除。已经具有预定长度(例如2批)队列(queue)的制造机器也可以从考虑对象中被移除。作为替代方案,可以改变具有预定长度的制造机器队列(例如通过从队列中移除一个产品)。在此实施例中,可以不从考虑对象中移除具有预定队列长度的制造机器。
一旦某些制造机器已经不合格(从考虑对象中移除),利用计分算法对每一剩余制造机器(例如对n个剩余候选制造机器)决定分派得分。该计分算法可包括与产品相关联的因子(例如优先权、废料成本、产品过程流需求、与产品相关联的预测的良品率,等等)、与制造机器相关联的因子(例如周期时间、过程能力、与设备相关联的预测的良品率、工具可用性,等等),及/或与在产品与制造机器之间相互影响相关联的因子(例如队列长度、产量需求、与在设备上处理产品相关联的预测良品率)。在计分中的因子可以被标准化,亦可未被标准化。
通过将良品率预测作为因子整合到S/D策略,可以最佳化S/D策略以增加净利润。如上所述,良品率预测可与该预测的可信度相关联。在一个实施例中,良品率预测的可信度越大,则该良品率预测在计分算法中的加权越重。
在一个实施例中,为每一产品采用不同计分算法。可基于与该产品具体相关的因子而最佳化每一产品的计分算法。例如,未按时完成一个产品的罚分可以高于未按时完成另一产品的罚分。因此,对于队列延迟成本因子,具有较高罚分的产品可以具有较大权重。在一个实施例中,基于许多加权因子,为每一制造机器计算分派得分。在一个实施例中的加权计分算法是按如下标准化:
其中W1到Wn为对特定产品施加到因子1到n的权重,并且其中tool表示特定制造机器,对该制造机器计算分派得分。
在一个实施例中,包括在计分算法中的因子是周期时间成本、停工期时间成本、过程能力成本、排队延迟成本和良品率相关成本。可根据以下等式计算该因子:
其中tool表示与特定制造机器相关联的值,avg表示在特定类型中所有制造机器的平均值。
对所有这些因子,对每一工具,除排队延迟以外,进入计算中的组件可选择为常量。这是因为排队延迟依赖于在特定时间里多少批次被分派到特定工具。在S/D分析中采用良品率因子(基于良品率预测),相比不采用良品率因子会给出较高的毛利润。
在一个实施例中,S/D控制器165解决三个安排问题。首先,当一个批次可用于处理,它应该进入哪个制造机器的队列?第二,当制造机器准备好处理产品时,应该处理其队列中的哪个产品?第三,当制造机器的队列为空时,哪个未排队产品应该被排队于此制造机器中?S/D控制器165通过比较已经为每一制造机器以及为每一产品产生的分派分数,可解决此三个问题。在一个实施例中,为产品和制造机器的每一组合产生分离的分派分数。然后可将产品安排到制造机器以最大化总分派分数。
在解决第一问题时,利用等式(1),其中利用与待被处理的产品相关联的因子权重,评估每一可用(即其队列不满)制造机器。在解决第二和第三问题时,利用与特定产品相关联的因子权重来区分在设备队列中的产品或者等待被排队的产品,以决定下一个由特定制造机器处理或下一个排队于该特定制造机器的较佳产品。
设定此问题后,该分析可按如下进行:决定将影响S/D策略的产品和设备常数信息;计算获得最大利润的最佳化权重;并运用计算分析来得到分派策略。从重新计算队列信息的角度来看,一批次的分派策略可影响到下批次。以上分析允许考虑到良品率预测信息而计算最佳工厂利润。
在一个实施例中,利用路由算法(routing algorithm)解决第一问题、第二问题和第三问题。该路由算法包括计分算法。因此,路由算法可以为产品和制造机器的每一组合计算分派分数,并基于该分派分数决定将哪个产品指定到哪个制造机器。
表1:产品表
表2:制造机器表
表1和表2提供实例设备和产品属性。注意其中有三个产品(在表2中示出的P1、P2和P3)以及三个制造机器(在表3中示出的El、E2和E3)。对于每一产品,针对每一批次指示所有的期望生产率、收入、每一废料成本以及每天的“延迟成本”罚分。对于每一制造机器,指示周期时间、停工期时间、过程品质(即过程能力)和良品率等取值。每天的产品率、与产品相关的利润和成本,以及与S/D策略决定过程相关的设备属性,均被指示。此处没有将排队长度作为常量提供,因为如上所述,其是变量,是产品和设备之间相互影响的结果,并且是所选择S/D策略的函数。利用此信息,可为施加到各个部件的各因子决定因子权重。
表3:部件因子权重
表3显示该三个产品的每一产品的实例计算的较佳权重。在表3中,Ct表示周期时间,Up表示运行时间,Cp表示过程能力,Q表示排队延迟,以及Y表示预测良品率。如在表1中所显示,对于废料,P2成本最高。因此,与P1和P3不同,P2为预测良品率指定最高权重。
图5G根据本发明的一个实施例,示出一曲线,其显示工厂利润作为良品率因子权重的函数。良品率因子沿水平轴显示,而工厂利润沿垂直轴显示。如所图所示,如果良品率因子被设置为0(良品率预测不被S/D控制器考虑),则工厂利润为每天$3,230,000。在所示出的实例中,由于良品率因子权重增加到36,利润增加到最大值每天$3,360,000。随着良品率因子权重增加到超过36,工厂利润下降。此示出利用良品率预测使S/D决策可以提供的潜在显著优点。注意,随良品率预测的精度下降,良品率因子的最佳权重将可能下降,但是仍然可以观察到其相对于没有良品率信息的解决方案,仍然具有利润优点。
当接收到新的良品率预测信息时,S/D控制器265可以更新产品向设备的分派指定。例如,如果良品率预测器228预测产品‘A’被传送到工具Litho-1时将具有达到4%良品率,而被传送到工具Litho-2时仅有达到2%的良品率,则S/D控制器265可以具有一规则,其整合该信息,连同这些工具之前的WIP相关信息以及及时(JIT)生产信息,以形成“感知良品率”(yield conscious)的S/D决定。此规则可为用于形成决定的优先权树形结构,或者可以为将该批次产品传送到Litho-1相对于传送到Litho-2的机会成本的加权计算。因为可实时得到良品率预测信息,因此S/D控制器265可利用此信息以形成实时S/D决定。
CMMS控制器270保持维护安排和维护历史、制造机器和测量工具的当前状态,以及有关制造环境222中任何附加维护操作的信息。CMMS控制器270可以基于定时、部件计数(例如所处理的晶片)及/或所接收事件(例如工具警报)而安排维护事件。这些维护事件可以包括:将制造机器移出生产,指定人员服务该制造机器,保留材料,记录成本,跟踪例如问题原因的相关事件(例如包括将该原因与良品率偏差事件相关联),等等。
在一个实施例中,在由良品率预测器228所采用的良品率预测模型中,实际良品率偏差与维护事件有关。通过比较历史良品率数据与历史维护数据以决定特定良品率偏差模式与随后维护模式之间的相关性,可产生此良品率预测模型。适当利用此模型,CMMS控制器270可利用良品率预测来激活维护事件。
EPT子***使EES自动跟踪基本设备性能,而不需要操作员或主机输入。EPT子***自动报告设备状态改变、处在某状态的时间和为何一个特定设备处于该状态的原因(例如为何一个设备被锁定而不执行任务)。设备状态可包括等待产品、可用、制造产品、在维护、锁定而不执行特定任务等等。可将良品率预测信息整合到EPT子***以提供更重要信息,例如为何一个设备(例如制造机器280)处于特定状态(例如为何该设备被锁定)。
在一个实施例中,工厂范围控制器230包括使用者接口298。该使用者接口可包括用于图4A所示ECA策略引擎的图形使用者接口。使用者接口298还可包括可重配置的多组件操纵盘接口,其可提供特定使用者类别(class)所需要的确切信息。该操纵盘接口仅向使用者提供所需要看到的信息,而不显示不需要的信息,因此易于使用。而且,操纵盘可在一个屏幕上提供来自多个组件的信息,使使用者可以见到制造环境222的更全面信息。操纵盘接口还可在所有使用者类中提供可重用的解决方案,从而允许调整可重用的训练、文件等等。
YMS 220负责管理良品率和维护一或多个良品率预测模型。在一个实施例中,YMS220包括良品率分析器250和良品率模型产生器255。良品率分析器250接收良品率测试结果(例如基于电气测试结果、晶片可接受性测试(WAT)、晶片排序测试、最终测试等等),并分析该结果以确定良品率趋势、良品率相关性等等。如果检测到良品率偏差,则良品率分析器250对良品率偏差分类。
良品率模型产生器255可通过组合实验设计(DOE)样式分析和来自过程和良品率专家的输入而产生良品率预测模型。随着时间过去,可开发良品率预测模型库,以缩短模型设置发现和定义时间。此模型库可包括具体过程、具体过程产品、具体设备、具体设备产品和具体设备模型的种类。该良品率预测模型库可以被储存在合并数据储存器215中。
在一个实施例中,良品率模型产生器255将实际良品率信息(当可用时)与预测信息相比较,并相应地调整良品率模型。例如,一旦良品率分析器250已经分析新良品率信息并对其分类,良品率模型产生器255可将新良品率信息与现有良品率信息组合,以产生一个新的或更新的良品率预测模型。如果使用PLS良品率预测模型,则可利用例如非线性叠代部分最小平方(NIPALS)算法的PLS模型调整技术来修改进品率预测模型。然后可将经更新的良品率预测模型传送到良品率预测器228,以更换/更新良品率预测器228所用现有良品率预测模型。当***随时间过去演进,在输入和测量指示器输出之间的关系变化(例如由于在清洁过程之间于蚀刻腔中累积聚合物所导致)。当收集新数据时,周期或连续更新的动态良品率预测模型可造成这些变化。
在一个实施例中,利用DOE类型数据可决定一个或多个基线良品率模型。当产品良品率信息变得可用时,可改变该基线良品率模型。因此,可共同使用原始DOE数据和最新的测量数据二者。
在一个实施例中,利用移动窗口技术来更新良品率预测模型。在图6中示出此移动窗口技术的一个实施例。在该移动窗口技术中,初始利用DOE数据来产生良品率预测模型。当接收到新的数据时,在预测模型中使用的数据部分被丢弃,而保留在该预测模型中使用的部分数据。在一个实施例中,总是保留该DOE数据,而仅丢弃随后接收到的数据。在一个实施例中,丢弃最旧(而不是DOE数据)的数据。在此实施例中,使用移动窗口,其中超出移动窗口的所有数据被丢弃,而保留在该移动窗口中的所有数据。此允许良品率预测模型随时间演进。可对保留的数据加权。窗口的大小和数据的相对权重影响到模型对变化条件的响应度以及其对抑制噪声的能力。例如,较小的窗口具有增大的响应度,但其更易于受到噪声干扰。
图7A根据本发明的一个实施例,示出用于半导体产品的过程流程图700。该过程流程反映制造环境中的大量***和机器(例如制造机器、测量装置、服务器、控制器等等)所执行的操作。
在方块702,在初始产品的基板上沉积(或生长)一层材料。该初始产品可以为裸基板,或者可以为经过先前过程的图案化基板。在沉积过程中(方块702),(例如由图2的FDC组件226)执行传感器数据的故障检测和分类(FDC)分析(方块714)。然后基于该FDC分析形成(方块734)良品率预测(例如通过图2的良品率预测器228)。
一旦在产品基板上沉积该层后,可由测量装置评估该产品(方块716)。在方块716,在新沉积的层上执行厚度测量。可基于厚度测量结果更新良品率预测(方块726)。
然后通过光刻机器在新沉积的层上图案化(方块704)。在图案化过程中,对从光刻机器收集的传感器数据执行FDC分析(方块718)。然后基于该FDC分析更新该良品率预测(方块734)。
在图案化该层后,可通过测量装置评估产品(方块720)。在方块720,执行关键尺寸校正测量。基于该关键尺寸校正测量结果可更新该良品率预测(方块734)。
在随后的过程中,蚀刻该图案化层以移除层的部分(方块706)。在蚀刻过程中,执行从蚀刻机器中收集的传感器数据的FDC分析(方块722)。然后基于该FDC分析更新良品率预测(方块734)。
在图案化层的部分被移除后,可由测量装置再次评估该产品(方块724)。在方块724,执行另一关键尺寸校正测量。基于新的关键尺寸校正测量结果,可更新该良品率预测(方块734)。
在随后的过程中,由植入机(方块708)植入产品(例如用硼植入)。在植入过程期间,执行对从植入机收集的传感器数据的FDC分析(方块726)。然后基于该FDC分析更新良品率预测(方块734)。
一旦产品被植入,该产品可再次由测量装置评估(方块728)。在方块728,在产品的植入区域执行表面电阻检查。然后基于该表面电阻测量结果更新良品率预测(方块734)。
在随后的过程中,在产品上执行化学机械平坦化(CMP)以移除图案化层。在CMP过程期间,对从CMP机器收集的传感器数据执行FDC分析(方块730)。然后基于该FDC分析更新良品率预测(方块734)。
在通过CMP对产品处理之后,可再次由测量装置评估该产品(方块732)。在方块732,执行厚度测试以决定是否所有的图案化层已经被移除。然后基于该厚度测量更新良品率预测(方块734)。
一旦已经执行所有的过程,可电气测试该产品(方块712)。基于该电气测试结果更新良品率预测。最后,测量最终产品良品率。
在以上过程的任意时刻,良品率预测可指示良品率偏差事件。如果预测到良品率偏差事件,可执行一或多个操作(例如通过图2的策略引擎235),以减轻被监视产品及/或将来产品的良品率损失。良品率预测可包括良品率偏差事件和一或多个良品率偏差条件二者。视良品率偏差事件及/或良品率偏差条件不同,所执行的操作可以从属于R2R控制(方块738)、S/D控制(方块740)、CMMS控制(方块742)及/或EPT控制(方块744)。例如,如果基于方块720的测量报告良品率偏差事件,则可执行R2R控制(方块838)以在蚀刻过程调整CD靶(方块706)。此调整可以减轻或避免较早预测的良品率偏差。
图7B根据本发明的另一个实施例,示出半导体产品的过程流程图750。该过程流程反映由一制造环境中的大量***和机器(例如制造机器、测量装置、服务器、控制器等等)所执行的操作。
在方块702,在初始产品的基板上沉积(或生长)一层材料。该初始产品可以为裸基板,或者可以为经过先前过程的图案化的基板。在沉积过程中(方块702),执行(例如由图2的FDC组件226)传感器数据的故障检测和分类(FDC)分析(方块714)。然后执行虚拟测量(方块752)以决定该层的预测厚度(方块762)。然后基于该FDC分析和该预测厚度形成(方块734)良品率预测(例如通过图2的良品率预测器8)。
一旦在产品基板上沉积该层后,可由测量装置评估该产品(方块716)。在方块716,在新沉积的层上执行厚度测量。可利用该厚度测量数据来更新用于预测该层厚度的虚拟测量模型(方块752)。可基于厚度测量结果更新良品率预测(方块726)。
然后通过光刻机器在新沉积的层上图案化(方块704)。在图案化过程中,对从光刻机器收集的传感器数据执行FDC分析(方块718)。然后执行虚拟测量(方块754),以基于在光刻过程开始之前收集的传感器数据和测量数据决定预测的关键尺寸校正(方块764)。然后基于该FDC分析和虚拟测量数据更新该良品率预测(方块734)。
在图案化该层后,可通过测量装置评估产品(方块720)。在方块720,执行关键尺寸校正测量。可用测量数据来更新用于预测关键尺寸校正的虚拟测量模型(方块754)。基于该关键尺寸校正测量结果可更新该良品率预测(方块734)。
在随后的过程中,蚀刻该图案化层以移除层的部分(方块706)。在蚀刻过程中,对从蚀刻机器中收集的传感器数据执行FDC分析(方块722)。然后执行虚拟测量(方块756)以基于在蚀刻过程开始之前收集的传感器数据和测量数据决定预测的关键尺寸校正(方块766)。然后基于该FDC分析和虚拟测量数据更新良品率预测(方块734)。
在图案化层的部分被移除后,可由测量装置再次评估该产品(方块724)。在方块724,执行另一关键尺寸校正测量。可利用该测量数据来更新用于预测新关键尺寸校正的虚拟测量模型(方块754)。基于新的关键尺寸校正测量结果,也可更新该良品率预测(方块734)。
在随后的过程中,由植入机(方块708)植入产品(例如用硼植入)。在植入过程期间,对从植入机收集的传感器数据执行FDC分析(方块726)。然后执行虚拟测量(方块758)以基于在植入过程开始之前收集的传感器数据和测量数据决定预测的表面电阻(方块768)。然后基于该FDC分析和虚拟测量数据更新良品率预测(方块734)。
一旦产品被植入,该产品可再次由测量装置评估(方块728)。在方块728,在产品的植入区域执行表面电阻检查。然后基于该表面电阻测量结果更新良品率预测和用于预测该表面电阻的模型(方块734)。
在随后的过程中,在产品上执行化学机械平坦化(CMP)以移除图案化层。在CMP过程期间,执行对从CMP机器收集的传感器数据的FDC分析(方块730)。然后执行虚拟测量(方块760)以基于在CMP过程开始之前收集的传感器数据和测量数据决定预测的厚度(方块770)。然后基于该FDC分析和虚拟测量数据更新良品率预测(方块734)。
在通过CMP对产品处理之后,该产品可再次由测量装置评估(方块732)。在方块732,执行厚度测试以决定是否所有的图案化层已经被移除。然后基于该厚度测量结果更新良品率预测和虚拟测量模型(方块734)。
一旦所有的过程已经被执行,可电气测试该产品(方块712)。基于该电气测试结果更新良品率预测。最后,测量最终产品良品率。
在以上过程的任何时刻,良品率预测可指示良品率偏差事件。如果预测到良品率偏差事件,可执行一或多个操作(例如通过图2的策略引擎235),以减轻被监视产品及/或将来产品的良品率损失。良品率预测可包括良品率偏差事件和一或多个良品率偏差条件二者。视良品率偏差事件及/或良品率偏差条件不同,所执行的操作可以从属于R2R控制(方块738)、S/D控制(方块740)、CMMS控制(方块742)及/或EPT控制(方块744)。
图8示出用于响应良品率预测而由EES的子***执行自动动作的方法800的一个实施例的流程图。该方法可以由过程逻辑执行,该过程逻辑可以包括硬件(例如电路、专属逻辑、可程序化逻辑、微代码等等)、软件(例如在处理装置上运行的指令),或二者的组合。在一个实施例中,方法800由图2的设备工程***205的子***执行。
回到图8,方法800包括由设备工程***收集数据(方块805)。所收集数据包括与制造过程、制造工具及/或制造产品相关的数据。此数据可在产品制造期间从制造机器接收、在产品测量期间从测量工具接收,或者从数据储存器中接收。在一个实施例中,由图2的FDC组件226收集该数据。在另一个实施例中,FDC组件226利用该数据执行故障检测和分类,并减少数据以减少可用于良品率预测的数据集。FDC组件226还可执行虚拟测量以预测测量值。
在方块810,过程逻辑预测后段良品率偏差。可通过将该数据与良品率预测模型相比较,通过图2的良品率预测器228预测后段良品率偏差。在一个实施例中,良品率预测器228从FDC组件226接收经精减的数据集,并通过将该经精减数据集运用到良品率预测模型而执行良品率预测。在另一个实施例中,良品率预测器228接收虚拟测量数据,并利用虚拟测量数据,组合经精减数据集来预测良品率。该良品率预测可包括预测偏差事件和一或多个良品率偏差条件。此良品率偏差条件之一可以是良品率预测品质指示器。
在方块815,过程逻辑响应良品率预测执行自动动作。在一个实施例中,由图2的策略引擎235决定该自动动作。策略引擎235可以是事件-条件-动作策略引擎。事件-条件-动作策略引擎可将预测的良品率偏差、良品率偏差事件和一或多个良品率偏差条件与规则集相比较。如果良品率偏差事件及/或良品率偏差条件与包含在规则中的良品率偏差事件及/或良品率偏差条件相匹配,则激活一或多个操作。
在方块825,过程逻辑决定自动动作是否调整批次间(R2R)控制器的参数。如果自动动作调整R2R控制器的参数,则该方法激活图9的方法900。不管自动动作是否调整R2R控制器的参数,方法进行到方块830。
在方块830,过程逻辑决定自动动作是否调整安排和分派(S/D)控制器的参数。如果自动动作调整S/D控制器的参数,则该方法激活图10的方法1000。不管自动动作是否调整S/D控制器的参数,方法进行到方块835。
在方块835,过程逻辑决定自动动作是否调整计算机维护管理***(CMMS)的参数。如果自动动作调整CMMS控制器的参数,则该方法激活图11的方法1100。不管自动动作是否调整CMMS控制器的参数,方法进行到方块840。
在方块840,过程逻辑决定自动动作是否调整设备性能跟踪(EPT)的参数。如果自动动作调整EPT控制器的参数,则该方法激活图12的方法1200。否则方法结束。
图9图示响应良品率预测调整批次间(R2R)控制器的方法900的一个实施例的流程图。可通过过程逻辑执行该方法,该过程逻辑可以包括硬件(例如电路、专用逻辑、可程序化逻辑、微代码等等)、软件(例如在过程装置上运行的指令),或二者的组合。在一个实施例中,由图2中的R2R控制器260执行方法900。可由图8的方法800激活方法900。
参考图9,方法900包括由R2R控制器接收预测的良品率偏差信息(方块905)。在方块910,R2R控制器决定良品率偏差是否与未能实现的工厂范围性能目标相关联。工厂范围性能目标的实例系良品率。例如,如果对于产品,预测的良品率差于良品率阈值,则对该产品而言,工厂范围性能目标不会被满足。如果良品率偏差与未能实现的工厂范围性能目标相关联,则方法进行到方块915。否则,该方法继续到方块920。
在方块920,批次间控制器决定良品率偏差是否与未能实现的过程间性能目标相关联。此过程间性能目标的实例包括在过程之间及/或层之间的关键尺寸控制,在过程之间的一致性控制等等,如果良品率偏差与未能实现的过程间性能目标相关联,则方法进行到方块925。否则方法进行到方块930。
在方块915,批次间控制器调整工厂范围批次间控制模块的参数。工厂范围的批次间控制模块的参数可包括由过程间批次间控制模块或过程内的批次间控制模块所使用的目标和增益。
在方块925,批次间控制器调整一或多个过程间批次间控制模块。一或多个过程间批次间控制模块的参数可响应对工厂范围批次间控制模块的调整,及/或响应预测的良品率偏差而被调整。这些参数包括过程内的批次间控制模块的目标和增益。该方法进行到方块935。
在方块930,批次间控制器决定良品率偏差是否与未能实现的过程间性能目标相关联。过程内的性能目标的实例包括例如气流速率、压力、温度等过程参数以及例如膜厚度、表面电阻、关键尺寸一致性等产品参数。如果良品率偏差与未能实现的过程内性能目标相关联,则方法进行到方块935。否则,方法结束。
在方块935,批次间控制器调整一或多个过程内批次间控制模块的参数。例如,批次间控制器可调整气流速率、温度设置、压力设置等等。一或多个过程间批次间控制模块的参数可以响应对工厂范围批次间控制模块的调整,响应对过程间批次间控制模块的调整及/或响应预测的良品率偏差而被调整。方法然后结束。
图10图示方法1000的一个实施例的流程图,该方法由S/D控制器通过制造机器路由产品。该方法可由包括硬件(例如电路、专用逻辑、可程序化逻辑、微代码等等)、软件(例如在处理装置上运行的指令),或二者组合的过程逻辑执行。在一个实施例中,由图2中的S/D控制器265执行方法1000。方法1000可由图8的方法800激活。
参考图10,方法1000包括由S/D控制器接收预测的良品率偏差信息(方块1005)。在方块1010,S/D控制器基于预测的良品率调整运用到与良品率偏差相关联的制造工具的权重。例如,如果制造工具导致某具体产品的良品率偏差较高,则可将该制造工具加权,使得该具体产品更可能在该制造工具上被处理。同样,如果制造工具导致某具体产品的良品率偏差较低,则可将该制造工具加权,使得该具体产品较不可能在该制造工具上被处理。
在方块1015,S/D控制器计算在一或多个制造工具上制造产品的成本-利润分析。可以利用该路由算法计算成本-利润分析。路由算法可以包括以下变量:可用制造机器的数量、需要处理的产品数量、需要处理的附加产品、对于产品和附加产品的可用制造机器的权重,等等。如果对任何制造机器(例如与良品率偏差相关联的制造机器)施加无限大的权重,则在该制造机器上将没有产品被处理。可对单个产品(例如经历良品率偏差的产品)向制造机器施加无限大权重,在此情况下,其它产品仍然可以在该制造机器上被安排。作为替代方案,可对所有产品向制造机器施加无限大权重,在此情况下,于该制造机器上将不处理产品,直至其经过维护。
在方块1020,S/D控制器根据成本利润分析决定哪个制造工具处理产品。该方法然后结束。
图11图示响应预测的良品率偏差,对制造机器进行维护的安排方法1100的一个实施例的流程图。该方法可以由包括硬件(例如电路、专用逻辑、可程序化逻辑、微代码等等)、软件(例如在处理装置上运行的指令),或二者的组合的过程逻辑执行。在一个实施例中,由图2的CMMS控制器270执行方法1100。可由图8的方法800激活方法1100。
参考图11,方法1100包括由CMMS控制器接收预测的良品率偏差信息(方块1105)。在方块1110,CMMS控制器进一步接收关于导致预测良品率偏差的问题源的信息。关于该问题源的信息可以基于由良品率管理***维护的良品率偏差数据及/或与故障分类相关联。
在方块1115,CMMS控制器为与预测的良品率偏差相关联的制造工具安排维护事件。在方块1120,将潜在的问题源通知给维护人员。例如,如果基于气流速率失控而预测良品率偏差,则可将用于制造工具的气体注入***识别为问题源。此帮助维护人员快速识别并解决问题。
图12图示跟踪设备性能的方法1200的一个实施例的流程图。该方法可通过包括硬件(例如电路、专有逻辑、可程序化逻辑、微代码等等)、软件(例如在处理装置上运行的指令),或二者的组合的过程逻辑执行。在一个实施例中,方法1200由图2的EPT控制器275执行。方法1200可由图8的方法800激活。
参考图12,方法1200包括由EPT控制器接收预测的良品率偏差信息(方块1205)。在方块1210,EPT控制器将良品率偏差信息与制造工具(与该良品率偏差相关联)的状态相关联。例如,如果由于良品率偏差而将导致良品率偏差的制造工具被设置为维护,则然后其状态可为“不可用”。EPT控制器可由于该预测的良品率偏差而进一步确定该制造机器系不可用。
图13A图示响应良品率预测由策略引擎自动执行操作的方法1300的一个实施例的流程图。该方法可通过包括硬件(例如电路、专有逻辑、可程序化逻辑、微代码等等)、软件(例如在处理装置上运行的指令),或二者的组合的过程逻辑执行。在一个实施例中,方法1300由图2的策略引擎235执行。
参考图13A,方法1300包括由策略引擎接收后段良品率预测(方块1305)。在一个实施例中,该策略引擎是可图形配置的事件-条件-动作策略引擎。在方法1310,策略引擎决定良品率预测是否包括良品率偏差事件及/或任何良品率偏差条件。只要预测的良品率低于预定的良品率阈值,即会发生良品率偏差事件。良品率偏差条件的实例包括良品率偏差事件的因素(例如压力失控、微粒数目过多、关键尺寸一致性较差等等)以及良品率预测的可信度(品质)。如果良品率预测不包括良品率偏差事件或良品率偏差条件,则该方法结束。如果其包括良品率偏差事件或良品率偏差条件,则方法进行到方块1315。
在方块1315,策略引擎将良品率偏差事件及/或良品率偏差条件与一或多个规则相比较。在方块1320,如果良品率偏差事件及/或良品率偏差条件对应规则,则方法进行到方块1325。否则,方法结束。
在方块1325,策略引擎执行包括在对应该良品率偏差事件及/或良品率偏差条件的规则中的动作。该动作关于设备工程***的组件(例如子***)。如果多个规则对应该良品率偏差事件及/或良品率偏差条件,则可执行多个动作。例如,第一事件可导致安排维护事件,第二规则可导致调整R2R控制模块的参数,等等。某些规则可优先于其它规则。例如,如果报告第一良品率偏差条件,则可执行包括在第一规则中的操作。但是,如果报告第一良品率偏差条件和第二良品率偏差条件,则可执行包括在第二规则中的操作,而包括在第一规则中的操作可不被执行。该操作可导致预测的良品率偏差减小并且/或者可避免将来的产品经历相同的良品率偏差事件。
在方块1330,如果将产生导致更新的良品率预测的动作,则方法返回到方块1305,并且更新的良品率预测由策略引擎审核。否则方法结束。
图13B图示响应良品率预测由策略引擎自动执行操作的方法1350的另一个实施例的流程图。该方法可通过包括硬件(例如电路、专用逻辑、可程序化逻辑、微代码等等)、软件(例如在处理装置上运行的指令),或二者的组合的过程逻辑执行。在一个实施例中,方法1350由图2的策略引擎235执行。
参考图13B,方法1350包括由策略引擎接收后段良品率预测(方块1355)。在方块1360,该后段良品率预测被传送到R2R控制器和S/D控制器。在方块1365,策略引擎接收来自R2R控制器的信息,其识别哪个制造机器可被有效地修改以支持将减小所预测良品率偏差的过程改变。
在方块1370,策略引擎从S/D控制器接收产品安排信息。该产品安排信息可识别哪个制造机器可用于处理该产品。在方块1375,策略引擎基于从S/D控制器和R2R控制器接收的信息,决定在其中处理该产品的制造机器。此决定然后可被传送到S/D控制器和R2R控制器,以使其能够实现该决定(方块1380)。方法然后结束。
图14图示计算机***1400的示例形式中机器的图标,在该计算机***中可执行一组指令,用于导致机器执行在本文所讨论方法中的任何的一或多个方法。在替代性实施例中,机器可连接(例如通过网络)到在区域网(LAN)、内部网、外部网或网际网络中的其它机器。该机器可在客户端-服务器网络环境中的服务器或客户端机器的容量中操作,或者作为点对点(或分布式)网络环境中的对等机器。该机器可以为个人计算机(PC)、平板PC、机上盒(STB)、个人数字助理(PDA)、行动电话、网络家电、服务器、网络路由器、交换机或桥接器,或能够执行一组指定由该机器执行的操作的指令(顺序的或其它形式)的任何机器。进一步而言,虽然本说明书仅示出单一机器,术语“机器”还可被理解为包括任何机器集合(例如计算机),其可单独地或共同执行一组(或多组)指令以执行本说明书讨论方法的任何的一或多个。
示例计算机***1400包括处理器1402、主存储器1404(例如只读存储器(ROM)、闪存、诸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)的动态随机存取内存(DRAM),等等)、静态内存1406(例如闪存、静态随机存取内存(SRAM),等等),以及辅助内存1418(例如数据储存装置),其经由总线1430相互通讯。
处理器1402表示一或多个通用处理装置,例如微处理器、中心处理单元,或类似物。具体而言,处理器1402可以为复杂指令集计算(CISC)微处理器、精减指令集计算(RISC)微处理器、甚长指令字符(VLIW)微处理器、实现其它指令集的处理器,或者实现指令集组合的处理器。处理器1402还可以为一或多个专用处理装置,例如专用集成电路(ASIC)、现场可程序门阵列(FPGA)、数字信号处理器(DSP)、网络处理器,或类似物。处理器1402系配置用来执行处理逻辑1426,以执行在本文中讨论的操作和步骤。
计算机***1400还可以包括网络接口装置1408。计算机***1400还可以包括视频显示单元1410(例如液晶显示器(LCD)或阴极射线管(CRT))、文字数字输入装置1412(例如键盘)、光标控制装置1414(例如鼠标),以及信号产生装置1416(例如扬声器)。
辅助内存1418可以包括机器可读储存媒体(或具体而言为计算机可读储存媒体)1431,在其中储存一或多个体现任何一或多个在本文中描述的方法或函数的指令集(例如软件1422)。在由计算机***1400执行期间,软件1422还可驻留于(完全地或至少部分地)主存储器1404及/或在处理装置1402,主存储器1404和处理装置1402还组成机器可读储存媒体。软件1422还可经由网络接口装置1408在网络1420中被传输或接收。
机器可读储存媒体1431还可用来储存良品率管理***(YMS)220、设备工程***(EES)205及/或制造执行***(MES)210(如参考图2所述)的一或多个子***,及/或包含调用YMS、EES及/或MES的子***的方法的软件库。机器可读储存媒体1431还可以用来储存制造信息和控制***(MICS)的一或多个附加组件,例如决定支持逻辑组件、实时监视器,及/或执行逻辑组件。虽然机器可读储存媒体1431在示例实施例中显示为单个媒体,术语“机器可读储存媒体”还应考虑包括储存一或多个指令集的单个媒体或多个媒体(例如集中式或分布式数据库,及/或相关的快取和服务器)。术语“机器可读储存媒体”还应考虑包括能够储存或编码由该机器执行的,以及使机器执行本发明的任意一或多个方法的指令集的媒体。术语“机器可读储存媒体”因此应被考虑包括,但不限于,固态内存以及光学和磁性媒体。
应理解上述描述用意系说明性,而非限制性。熟习此项技术者通过阅读和理解以上描述将理解许多其它实施例。虽然参考具体示例实施例描述了本发明,但应认识到本发明不限于所描述的该实施例,但在权利要求的精神以及范围以内可加以修改和变化。本发明的范围因此应该参考权利要求书,连同这些权利要求有权扩及的等同物的完全范围而定。

Claims (15)

1.一种设备,包括:
用于通过批次间控制器接收良品率预测的装置,所述批次间控制器包括指定过程性能目标的过程内批次间控制模块,其中所述良品率预测与制造工具、产品或过程中至少之一相关联;
用于基于所述良品率预测,通过所述过程内批次间控制模块调整与过程内批次间控制相关联的第一参数的装置,其中所述第一参数包括过程配方的处理参数,其中所述批次间控制器包括指定不同过程之间的过程间性能目标的过程间批次间控制模块,其中所述良品率预测包括良品率预测质量值;以及
用于基于所述良品率预测和所述良品率预测质量值的组合确定所述第一参数的调整的装置,其中调整程度随着所述良品率预测质量值减小而减小。
2.根据权利要求1所述的设备,还包括:
用于基于所述良品率预测通过所述过程间批次间控制模块调整与过程间批次间控制相关联的第二参数的装置,其中所述第二参数包括过程间水准质量参数;以及
用于响应于调整所述第二参数而调整所述第一参数的装置。
3.根据权利要求2所述的设备,其中所述批次间控制器包括指定一个或者多个工厂范围性能目标的工厂范围批次间控制模块,所述方法还包括:
用于基于所述良品率预测通过所述工厂范围批次间控制模块调整与工厂范围批次间控制相关联的第三参数的装置,其中所述第三参数包括工厂水准质量参数;
用于响应调整所述第三参数而调整所述第二参数的装置;以及
用于响应调整所述第二参数和所述第三参数而调整所述第一参数的装置。
4.根据权利要求1所述的设备,其中所述批次间控制器包括指定一个或者多个工厂范围性能目标的工厂范围批次间控制模块,所述方法还包括:
基于所述良品率预测通过所述工厂范围批次间控制模块调整与工厂范围批次间控制相关联的第三参数,其中所述第三参数包括所述过程内批次间控制模块的过程性能目标中的一个或者多个;以及
响应调整所述第三参数而调整所述第一参数。
5.根据权利要求1所述的设备,还包括:
用于通过设备工程***收集数据的装置,所述数据属于所述制造工具或者所述产品中的至少一者;以及
用于通过将所收集的数据应用到良品率预测模块来确定所述产品的所述良品率预测的装置。
6.一种设备工程***,包括:
计算装置,所述计算装置包括执行用于批次间控制器的指令的处理器,其中所述指令使所述处理器:
接收来自良品率预测器的良品率偏差的指示,其中所述良品率偏差包括良品率预测质量值并且所述良品率偏差与制造工具、产品或者过程中的至少一者相关联;
识别第一测量值与用于过程内批次间控制的过程性能目标之间的第一偏差,其中所述第一偏差影响所述良品率偏差;
基于所识别的第一偏差调整与所述过程内批次间控制相关联的第一参数,其中所述第一参数包括过程配方的处理参数,其中所述批次间控制器包括指定不同过程之间的过程间性能目标的过程间批次间控制模块;以及
基于所述良品率偏差和所述良品率预测质量值的组合确定所述第一参数的调整,其中调整程度随着所述良品率预测质量值减小而减小。
7.根据权利要求6所述的设备工程***,还包括使所述处理器进行如下的指令:
识别第二测量值与用于过程间批次间控制的过程间性能目标之间的第二偏差,其中所述第二偏差影响所述良品率偏差;
基于所识别的第二偏差调整与所述过程间批次间控制相关联的第二参数,其中所述第二参数包括过程间水准质量参数;以及
响应于调整所述第二参数而调整所述第一参数。
8.根据权利要求7所述的设备工程***,还包括使所述处理器进行如下的指令:
基于所述良品率偏差调整与工厂范围批次间控制相关联的第三参数,其中所述第三参数包括工厂水准质量参数;
响应调整所述第三参数而调整所述第二参数;以及
响应调整所述第二参数和所述第三参数而调整所述第一参数。
9.根据权利要求6所述的设备工程***,还包括使所述处理器进行如下的指令:
基于所述良品率偏差调整与工厂范围批次间控制相关联的第三参数,其中所述第三参数包括一个或者多个过程性能目标;以及
响应调整所述第三参数而调整所述第一参数。
10.根据权利要求9所述的设备工程***,还包括使所述处理器进行如下的指令:
收集数据,所述数据属于所述制造工具或者所述产品中的至少一者;以及
将所述数据运送到所述良品率预测器,其中所述良品率预测器通过将所收集的数据应用到良品率预测模块为所述产品确定所述良品率偏差。
11.一种方法,包括:
通过批次间控制器接收良品率预测,所述批次间控制器包括指定过程性能目标的过程内批次间控制模块,其中所述良品率预测与制造工具、产品或过程中至少之一相关联;
基于所述良品率预测,通过所述过程内批次间控制模块调整与过程内批次间控制相关联的第一参数,其中所述第一参数包括过程配方的处理参数,其中所述批次间控制器包括指定不同过程之间的过程间性能目标的过程间批次间控制模块,其中所述良品率预测包括良品率预测质量值;以及
基于所述良品率预测和所述良品率预测质量值的组合确定所述第一参数的调整,其中调整程度随着所述良品率预测质量值减小而减小。
12.根据权利要求11所述的方法,还包括:
基于所述良品率预测通过所述过程间批次间控制模块调整与过程间批次间控制相关联的第二参数,其中所述第二参数包括过程间水准质量参数;以及
响应于调整所述第二参数而调整所述第一参数。
13.根据权利要求12所述的方法,其中所述批次间控制器包括指定一个或者多个工厂范围性能目标的工厂范围批次间控制模块,所述方法还包括:
基于所述良品率预测通过所述工厂范围批次间控制模块调整与工厂范围批次间控制相关联的第三参数,其中所述第三参数包括工厂水准质量参数;
响应调整所述第三参数而调整所述第二参数;以及
响应调整所述第二参数和所述第三参数而调整所述第一参数。
14.根据权利要求11所述的方法,其中所述批次间控制器包括指定一个或者多个工厂范围性能目标的工厂范围批次间控制模块,所述方法还包括:
基于所述良品率预测通过所述工厂范围批次间控制模块调整与工厂范围批次间控制相关联的第三参数,其中所述第三参数包括所述过程内批次间控制模块的过程性能目标中的一个或者多个;以及
响应调整所述第三参数而调整所述第一参数。
15.根据权利要求11所述的方法,还包括:
通过设备工程***收集数据,所述数据属于所述制造工具或者所述产品中的至少一者;以及
通过将所收集的数据应用到良品率预测模块来确定所述产品的所述良品率预测。
CN201710224894.6A 2008-03-06 2009-02-06 用于良品率预测反馈的设备、***和方法 Active CN107479509B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US6865208P 2008-03-06 2008-03-06
US61/068,652 2008-03-06
US5595408P 2008-05-23 2008-05-23
US61/055,954 2008-05-23
US12/210,989 2008-09-15
US12/210,989 US7974723B2 (en) 2008-03-06 2008-09-15 Yield prediction feedback for controlling an equipment engineering system
CN200980107872.5A CN101965569B (zh) 2008-03-06 2009-02-06 用于控制设备工程***的良品率预测反馈

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200980107872.5A Division CN101965569B (zh) 2008-03-06 2009-02-06 用于控制设备工程***的良品率预测反馈

Publications (2)

Publication Number Publication Date
CN107479509A true CN107479509A (zh) 2017-12-15
CN107479509B CN107479509B (zh) 2019-11-15

Family

ID=41054477

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710224894.6A Active CN107479509B (zh) 2008-03-06 2009-02-06 用于良品率预测反馈的设备、***和方法
CN200980107872.5A Active CN101965569B (zh) 2008-03-06 2009-02-06 用于控制设备工程***的良品率预测反馈

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200980107872.5A Active CN101965569B (zh) 2008-03-06 2009-02-06 用于控制设备工程***的良品率预测反馈

Country Status (5)

Country Link
US (3) US7974723B2 (zh)
KR (1) KR101582960B1 (zh)
CN (2) CN107479509B (zh)
TW (1) TWI459169B (zh)
WO (1) WO2009111134A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108415393A (zh) * 2018-04-19 2018-08-17 中江联合(北京)科技有限公司 一种砷化镓产品质量一致性控制方法及***
CN111190393A (zh) * 2018-11-14 2020-05-22 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
CN112904821A (zh) * 2021-01-28 2021-06-04 上海华力微电子有限公司 一种基于遗传算法模型的数据分析方法及装置
CN113625787A (zh) * 2021-07-19 2021-11-09 深圳市裕展精密科技有限公司 应用于清洁***的控制装置、控制方法及清洁***
CN114971433A (zh) * 2022-08-01 2022-08-30 中国工业互联网研究院 基于工业互联网的质量管控方法、装置、设备及存储介质
CN115016421A (zh) * 2022-07-27 2022-09-06 重庆大学 航天火工品装压药柔性制造的批间质量控制方法
CN117689086A (zh) * 2024-02-02 2024-03-12 山东国泰民安玻璃科技有限公司 一种中硼硅玻璃瓶的生产参数优化方法、设备及介质

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8357286B1 (en) 2007-10-29 2013-01-22 Semcon Tech, Llc Versatile workpiece refining
CN101978389B (zh) * 2008-02-22 2014-07-09 村田机械株式会社 Vao生产率套件
WO2009135224A1 (en) * 2008-05-02 2009-11-05 Invensys Systems, Inc. System for maintaining unified access to scada and manufacturing execution system (mes) information
US8335582B2 (en) * 2008-05-19 2012-12-18 Applied Materials, Inc. Software application to analyze event log and chart tool fail rate as function of chamber and recipe
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US8136068B2 (en) * 2008-09-30 2012-03-13 Cadence Design Systems, Inc. Methods, systems, and computer program products for implementing compact manufacturing models in electronic design automation
US8055375B2 (en) * 2008-09-30 2011-11-08 Rockwell Automation Technologies, Inc. Analytical generator of key performance indicators for pivoting on metrics for comprehensive visualizations
US8527080B2 (en) * 2008-10-02 2013-09-03 Applied Materials, Inc. Method and system for managing process jobs in a semiconductor fabrication facility
JP5253517B2 (ja) * 2008-12-24 2013-07-31 キヤノンアネルバ株式会社 真空処理装置のデータ収集システム
US8989887B2 (en) * 2009-02-11 2015-03-24 Applied Materials, Inc. Use of prediction data in monitoring actual production targets
TWI389050B (zh) * 2009-03-11 2013-03-11 Inotera Memories Inc 尋找產品良率與預防維護兩者間之關聯性的方法
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
US9323234B2 (en) * 2009-06-10 2016-04-26 Fisher-Rosemount Systems, Inc. Predicted fault analysis
US8302052B2 (en) * 2009-06-23 2012-10-30 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing hotspot detection, repair, and optimization of an electronic circuit design
US20110166683A1 (en) * 2010-01-07 2011-07-07 International Business Machines Corporation Real Time WIP Optimizer
US8623672B2 (en) * 2010-02-19 2014-01-07 Applied Materials, Inc. Prediction and scheduling server
US8606386B2 (en) * 2010-03-12 2013-12-10 Ana Maria Dias Medureira Pereira Multi-agent system for distributed manufacturing scheduling with Genetic Algorithms and Tabu Search
TWI412906B (zh) * 2010-04-13 2013-10-21 Univ Nat Cheng Kung 具有虛擬量測功能的製造執行系統與製造系統
CN102254788B (zh) * 2010-05-19 2014-08-20 国立成功大学 具有虚拟测量功能的制造执行***与制造***
TWI427722B (zh) * 2010-08-02 2014-02-21 Univ Nat Cheng Kung 使用具有信心指標之虛擬量測的先進製程控制系統與方法及其電腦程式產品
WO2012037650A1 (en) * 2010-09-24 2012-03-29 Nulogy Corporation Method, system and apparatus for automatic quality control using a plurality of computers
DE112011103259T5 (de) * 2010-09-28 2013-08-08 International Business Machines Corporation Verfahren, Programm und Vorrichtung zum Gruppieren einer Vielzahl von Elementen
KR20120064427A (ko) * 2010-12-09 2012-06-19 삼성전자주식회사 반도체 공정 산포의 제어 방법
US8954184B2 (en) 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
JP5691575B2 (ja) * 2011-02-03 2015-04-01 富士通株式会社 故障解析プログラム,故障解析装置および故障解析方法
DE102011013102A1 (de) * 2011-03-04 2012-09-06 Robert Bosch Gmbh Verfahren zur Optimierung der Nebenzeiten in Produktionsabläufen von Werkzeugmaschinen
TWI447605B (zh) * 2011-06-22 2014-08-01 Inotera Memories Inc 半導體製程之失效偵測方法及執行此方法之系統架構
WO2013018093A1 (en) * 2011-08-01 2013-02-07 Nova Measuring Instruments Ltd Monitoring system and method for verifying measurements in patterned structures
US9129076B2 (en) 2011-09-05 2015-09-08 United Microelectronics Corp. Hierarchical wafer yield prediction method and hierarchical lifetime prediction method
US8793106B2 (en) * 2011-09-23 2014-07-29 International Business Machines Corporation Continuous prediction of expected chip performance throughout the production lifecycle
US9588503B2 (en) 2011-11-15 2017-03-07 Rockwell Automation Technologies, Inc. Routing of enterprise resource planning messages
US9551983B2 (en) 2011-11-15 2017-01-24 Rockwell Automation Technologies, Inc. Activity set management in a Manufacturing Execution System
US9953280B2 (en) 2011-11-15 2018-04-24 Rockwell Automation Technologies, Inc. Industry-specific workflows in a manufacturing execution system with premier integration
US9250619B2 (en) 2011-12-06 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of automatic boundary control for semiconductor processes
US9836801B2 (en) 2012-01-23 2017-12-05 Quipip, Llc Systems, methods and apparatus for providing comparative statistical information in a graphical format for a plurality of markets using a closed-loop production management system
US9254583B2 (en) 2012-01-23 2016-02-09 Quipip, Llc Systems, methods and apparatus for providing comparative statistical information for a plurality of production facilities in a closed-loop production management system
US9275334B2 (en) * 2012-04-06 2016-03-01 Applied Materials, Inc. Increasing signal to noise ratio for creation of generalized and robust prediction models
CN103092074B (zh) * 2012-12-30 2015-09-09 重庆邮电大学 半导体先进过程控制的参数优化控制方法
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US9671779B2 (en) * 2013-03-15 2017-06-06 Applied Materials, Inc. Method and system for filtering lot schedules using a previous schedule
US10534361B2 (en) 2013-06-10 2020-01-14 Abb Schweiz Ag Industrial asset health model update
US11055450B2 (en) * 2013-06-10 2021-07-06 Abb Power Grids Switzerland Ag Industrial asset health model update
CN103336518B (zh) * 2013-07-08 2016-03-30 上海华力微电子有限公司 从外部辅助分析***取得量测数据的方法
US9910429B2 (en) * 2013-09-03 2018-03-06 The Procter & Gamble Company Systems and methods for adjusting target manufacturing parameters on an absorbent product converting line
US20150213459A1 (en) * 2014-01-29 2015-07-30 Farrokh F. Radjy Systems, methods and apparatus for providing a graphical representation of statistical performance and benchmarking data for one or more production facilities in a closed-loop production management system
US10184928B2 (en) 2014-01-29 2019-01-22 Quipip, Llc Measuring device, systems, and methods for obtaining data relating to condition and performance of concrete mixtures
WO2015117052A1 (en) 2014-01-31 2015-08-06 Putman Matthew C Apparatus and method for manipulating objects with gesture controls
TWI513900B (zh) * 2014-02-14 2015-12-21 Nat Univ Tsing Hua Control Method of Compressed Air Production in Air Compressor Station
US9194855B2 (en) 2014-02-28 2015-11-24 Quipip, Llc Systems, methods and apparatus for providing to a driver of a vehicle carrying a mixture real-time information relating to a characteristic of the mixture
US9470743B2 (en) * 2014-03-04 2016-10-18 Nvidia Corporation Dynamic yield prediction
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
WO2016123228A1 (en) 2015-01-30 2016-08-04 Quipip, Llc Systems, apparatus and methods for testing and predicting the performance of concrete mixtures
US20160342147A1 (en) 2015-05-19 2016-11-24 Applied Materials, Inc. Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
TWI594835B (zh) 2015-11-06 2017-08-11 財團法人工業技術研究院 加工設備的健康評估方法與健康評估裝置
DE102015015040A1 (de) 2015-11-12 2017-05-18 Schneider Gmbh & Co. Kg Verfahren, Anlage und System zur Bearbeitung optischer Linsen
JP6328600B2 (ja) * 2015-11-20 2018-05-23 ファナック株式会社 推奨保守通知システム
US10817800B2 (en) * 2016-01-20 2020-10-27 Robert Bosch Gmbh Value addition dependent data mining techniques for assembly lines
JPWO2017145318A1 (ja) * 2016-02-25 2018-09-27 東芝三菱電機産業システム株式会社 製造設備の異常診断装置
US11914349B2 (en) 2016-05-16 2024-02-27 Jabil Inc. Apparatus, engine, system and method for predictive analytics in a manufacturing system
DE102016007837A1 (de) * 2016-05-25 2017-11-30 Schneider Gmbh & Co. Kg Verfahren und System zur Bearbeitung optischer Linsen
CN109643085B (zh) * 2016-08-23 2022-05-10 埃森哲环球解决方案有限公司 实时工业设备生产预测和操作优化
EP3290911A1 (en) 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US20180087790A1 (en) * 2016-09-28 2018-03-29 Johnson Controls Technology Company Systems and methods for automatically creating and using adaptive pca models to control building equipment
US10495334B2 (en) 2016-09-28 2019-12-03 Johnson Controls Techology Company Systems and methods for steady state detection
TWI625615B (zh) * 2016-11-29 2018-06-01 財團法人工業技術研究院 預測模型建立方法及其相關預測方法與電腦程式產品
US10413984B2 (en) 2016-12-08 2019-09-17 Metal Industries Research & Development Centre Method for predicting precision of electrical discharge machine
US10996658B1 (en) * 2017-01-05 2021-05-04 6Th Street, Inc. Dynamically reallocating state machines
US10929808B2 (en) * 2017-01-17 2021-02-23 International Business Machines Corporation Estimation of node processing capacity for order fulfillment
US10121709B2 (en) * 2017-01-24 2018-11-06 Lam Research Corporation Virtual metrology systems and methods for using feedforward critical dimension data to predict other critical dimensions of a wafer
DE102017001680A1 (de) 2017-02-22 2018-08-23 Schneider Gmbh & Co. Kg Anlage und Verfahren zur Bearbeitung optischer Linsen
BR112019021196A2 (pt) * 2017-08-04 2020-04-28 Toshiba Mitsubishi Elec Ind dispositivo de reprodução de dados para instalações industriais
US10783290B2 (en) 2017-09-28 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. IC manufacturing recipe similarity evaluation methods and systems
JP7229686B2 (ja) * 2017-10-06 2023-02-28 キヤノン株式会社 制御装置、リソグラフィ装置、測定装置、加工装置、平坦化装置及び物品製造方法
TWI663569B (zh) * 2017-11-20 2019-06-21 財團法人資訊工業策進會 多工作站系統的品質預測方法及其系統
US10579041B2 (en) * 2017-12-01 2020-03-03 Applied Materials, Inc. Semiconductor process control method
US10976712B2 (en) * 2018-02-05 2021-04-13 Honeywell International Inc. Method and system to provide cost of lost opportunity to operators in real time using advance process control
US11084225B2 (en) 2018-04-02 2021-08-10 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
DE102018120839A1 (de) * 2018-08-27 2020-02-27 Endress+Hauser Process Solutions Ag Verfahren zum Überwachen einer Messstelle in einer Anlage der Prozessautomatisierung
US20200081422A1 (en) * 2018-09-12 2020-03-12 Samsung Electronics Co., Ltd. Methods and systems for predicting health of products in a manufacturing process
DE102018216574A1 (de) * 2018-09-27 2020-04-02 Thyssenkrupp Ag Verfahren und System zur Wartung einer Produktionsanlage
EP3745333A1 (en) * 2019-05-29 2020-12-02 Siemens Aktiengesellschaft Method and system for managing a manufacturing process in a technical installation
CN110428116B (zh) * 2019-06-05 2023-08-01 武汉科技大学 基于能源、环境和经济的再制造***可持续性测定***
US11156991B2 (en) 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
CN112306003A (zh) * 2019-07-26 2021-02-02 宁波舜宇智能科技有限公司 加工装置协同控制方法、***、可读存储介质和设备
EP4028228A4 (en) 2019-09-10 2023-09-27 Nanotronics Imaging, Inc. SYSTEMS, METHODS AND MEDIA FOR MANUFACTURING PROCESSES
TWI736999B (zh) * 2019-10-07 2021-08-21 財團法人資訊工業策進會 資料分析裝置、資料分析方法以及與其相關的品質提升系統
US11100221B2 (en) 2019-10-08 2021-08-24 Nanotronics Imaging, Inc. Dynamic monitoring and securing of factory processes, equipment and automated systems
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
EP4127833B1 (en) * 2020-04-02 2024-04-03 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
EP3901700A1 (en) * 2020-04-20 2021-10-27 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
US12020961B2 (en) * 2020-06-22 2024-06-25 Lavorro, Inc. Automated assistance in a semiconductor manufacturing environment
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
TWI815123B (zh) * 2021-05-24 2023-09-11 賴煜勲 運用人工智慧之巨量資料分析平台及其運作方法
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
CN115639786A (zh) * 2021-07-19 2023-01-24 长鑫存储技术有限公司 调度路径确定、晶圆调度方法、装置、设备及存储介质
TWI815173B (zh) * 2021-08-26 2023-09-11 力晶積成電子製造股份有限公司 半導體製程的生產排程估測方法以及系統
TWI815202B (zh) * 2021-10-25 2023-09-11 財團法人工業技術研究院 效率影響因子判定方法及裝置
WO2023091784A2 (en) * 2021-11-22 2023-05-25 Jabil Inc. Apparatus, engine, system and method for predictive analytics in a manufacturing system
CN114384877B (zh) * 2021-12-27 2023-03-21 广州蓝海机器人***有限公司 一种光伏行业智慧车间制造***及方法
TWI829084B (zh) * 2022-01-28 2024-01-11 致揚科技股份有限公司 監控系統
CN114706363B (zh) * 2022-06-08 2022-08-23 湖南三湘银行股份有限公司 基于过程优化的质量管理平台
CN116679643B (zh) * 2023-06-02 2024-07-05 广州市顺风船舶服务有限公司 一种基于区块链技术的工业设备控制***
CN117311294B (zh) * 2023-11-28 2024-02-23 张家港大裕橡胶制品有限公司 基于云边融合的橡胶手套生产设备自决策控制方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1535436A (zh) * 1999-11-18 2004-10-06 Pdf全解公司 产品产量预测的***和方法
CN1535435A (zh) * 2001-07-30 2004-10-06 Ӧ�ò��Ϲ�˾ 用于分析制造数据的方法和装置
CN1716519A (zh) * 2004-06-14 2006-01-04 中芯国际集成电路制造(上海)有限公司 用于半导体器件的成品率相似性的方法和***
CN1737808A (zh) * 2004-08-20 2006-02-22 国际商业机器公司 用于掩模版的智能自动化管理的方法和***
US20060265684A1 (en) * 2005-05-18 2006-11-23 International Business Machines Corporation The use of redundant routes to increase the yield and reliability of a vlsi layout
CN101004558A (zh) * 2006-01-20 2007-07-25 株式会社东芝 半导体器件的制造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5402350A (en) * 1991-06-28 1995-03-28 Texas Instruments Incorporated Scheduling for multi-task manufacturing equipment
KR950034648A (ko) 1994-05-25 1995-12-28 김광호 반도체장치의 제조방법
US6834375B1 (en) 1999-11-18 2004-12-21 Pdf Solutions, Inc. System and method for product yield prediction using a logic characterization vehicle
US6470229B1 (en) 1999-12-08 2002-10-22 Yield Dynamics, Inc. Semiconductor yield management system and method
US7502758B2 (en) * 2001-09-12 2009-03-10 Every Penny Counts, Inc. Creation and distribution of excess funds, deposits, and payments
US6751519B1 (en) * 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US7401066B2 (en) * 2002-03-21 2008-07-15 Applied Materials, Inc. Correlation of end-of-line data mining with process tool data mining
US6799311B1 (en) 2002-06-27 2004-09-28 Advanced Micro Devices, Inc. Batch/lot organization based on quality characteristics
US6947803B1 (en) 2002-09-27 2005-09-20 Advanced Micro Devices, Inc. Dispatch and/or disposition of material based upon an expected parameter result
US20060036345A1 (en) * 2004-08-09 2006-02-16 An Cao Systems and method for lights-out manufacturing
JP4693464B2 (ja) * 2005-04-05 2011-06-01 株式会社東芝 品質管理システム、品質管理方法及びロット単位のウェハ処理方法
US7657339B1 (en) * 2005-10-14 2010-02-02 GlobalFoundries, Inc. Product-related feedback for process control
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7894926B2 (en) 2006-09-22 2011-02-22 Pdf Solutions, Inc. Global predictive monitoring system for a manufacturing facility
US7502658B1 (en) * 2008-02-19 2009-03-10 International Business Machines Corporation Methods of fabricating optimization involving process sequence analysis
US8095230B2 (en) * 2008-06-24 2012-01-10 International Business Machines Corporation Method for optimizing the routing of wafers/lots based on yield

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1535436A (zh) * 1999-11-18 2004-10-06 Pdf全解公司 产品产量预测的***和方法
CN1535435A (zh) * 2001-07-30 2004-10-06 Ӧ�ò��Ϲ�˾ 用于分析制造数据的方法和装置
CN1716519A (zh) * 2004-06-14 2006-01-04 中芯国际集成电路制造(上海)有限公司 用于半导体器件的成品率相似性的方法和***
CN1737808A (zh) * 2004-08-20 2006-02-22 国际商业机器公司 用于掩模版的智能自动化管理的方法和***
US20060265684A1 (en) * 2005-05-18 2006-11-23 International Business Machines Corporation The use of redundant routes to increase the yield and reliability of a vlsi layout
CN101004558A (zh) * 2006-01-20 2007-07-25 株式会社东芝 半导体器件的制造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108415393A (zh) * 2018-04-19 2018-08-17 中江联合(北京)科技有限公司 一种砷化镓产品质量一致性控制方法及***
CN111190393A (zh) * 2018-11-14 2020-05-22 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
CN111190393B (zh) * 2018-11-14 2021-07-23 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
CN112904821A (zh) * 2021-01-28 2021-06-04 上海华力微电子有限公司 一种基于遗传算法模型的数据分析方法及装置
CN113625787A (zh) * 2021-07-19 2021-11-09 深圳市裕展精密科技有限公司 应用于清洁***的控制装置、控制方法及清洁***
CN113625787B (zh) * 2021-07-19 2024-05-14 富联裕展科技(深圳)有限公司 应用于清洁***的控制装置、控制方法及清洁***
CN115016421A (zh) * 2022-07-27 2022-09-06 重庆大学 航天火工品装压药柔性制造的批间质量控制方法
CN114971433A (zh) * 2022-08-01 2022-08-30 中国工业互联网研究院 基于工业互联网的质量管控方法、装置、设备及存储介质
CN117689086A (zh) * 2024-02-02 2024-03-12 山东国泰民安玻璃科技有限公司 一种中硼硅玻璃瓶的生产参数优化方法、设备及介质
CN117689086B (zh) * 2024-02-02 2024-04-26 山东国泰民安玻璃科技有限公司 一种中硼硅玻璃瓶的生产参数优化方法、设备及介质

Also Published As

Publication number Publication date
US20090228129A1 (en) 2009-09-10
TW200938976A (en) 2009-09-16
CN101965569A (zh) 2011-02-02
TWI459169B (zh) 2014-11-01
KR20100135784A (ko) 2010-12-27
KR101582960B1 (ko) 2016-01-06
CN107479509B (zh) 2019-11-15
US8774956B2 (en) 2014-07-08
US8612043B2 (en) 2013-12-17
CN101965569B (zh) 2017-05-03
US20110166684A1 (en) 2011-07-07
WO2009111134A3 (en) 2009-11-05
WO2009111134A2 (en) 2009-09-11
US20110166688A1 (en) 2011-07-07
US7974723B2 (en) 2011-07-05

Similar Documents

Publication Publication Date Title
CN107479509B (zh) 用于良品率预测反馈的设备、***和方法
US10409231B2 (en) Methods and apparatuses for utilizing adaptive predictive algorithms and determining when to use the adaptive predictive algorithms for virtual metrology
Kumar et al. A review of yield modelling techniques for semiconductor manufacturing
US8983644B2 (en) Manufacturing execution system with virtual-metrology capabilities and manufacturing system including the same
Cho et al. A two-level method of production planning and scheduling for bi-objective reentrant hybrid flow shops
Moyne et al. Yield management enhanced advanced process control system (YMeAPC)—Part I: Description and case study of feedback for optimized multiprocess control
US8620468B2 (en) Method and apparatus for developing, improving and verifying virtual metrology models in a manufacturing system
US11022968B2 (en) Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
TW201039255A (en) Method for bin-based control
TW202347062A (zh) 用於半導體廠房中之等待時間預測之方法
CN111898867A (zh) 一种基于深度神经网络的飞机总装生产线产能预测方法
Chien et al. Industry 3.5 framework of an advanced intelligent manufacturing system: Case studies from semiconductor intelligent manufacturing
JP2010015236A (ja) 生産ライン評価方法、生産ライン評価システム及び生産ライン評価プログラム並びに生産ライン評価プログラムを記録した記録媒体と、生産ライン制御方法
Stich et al. Yield prediction in semiconductor manufacturing using an AI-based cascading classification system
Hung et al. Development of an AVM system implementation framework
CN115994457B (zh) 一种半导体工艺产线设备配置方法及装置
Chen Predicting wafer-lot output time with a hybrid FCM–FBPN approach
Seidel et al. An integration of static and dynamic capacity planning for a ramping fab
CN102254788B (zh) 具有虚拟测量功能的制造执行***与制造***
Yang et al. Applying artificial neural network to predict semiconductor machine outliers
Baratelli Analysis of joint maintenance and quality control strategy in semiconductor fabrication
Sood et al. Supporting Fab Operations Using Multi-Agent Reinforcement Learning
JP2023135761A (ja) 仮想検査サービスシステム及び仮想検査サービス提供方法
Collins et al. Dynamic simulator for WIP analysis in semiconductor manufacturing
Babulak et al. From Available-to-Promise (ATP) to Keep-the-Promise (KTP): An Industrial Case of the Business Intelligent System

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant