CN107240563A - 衬底处理装置及半导体器件的制造方法 - Google Patents

衬底处理装置及半导体器件的制造方法 Download PDF

Info

Publication number
CN107240563A
CN107240563A CN201710096228.9A CN201710096228A CN107240563A CN 107240563 A CN107240563 A CN 107240563A CN 201710096228 A CN201710096228 A CN 201710096228A CN 107240563 A CN107240563 A CN 107240563A
Authority
CN
China
Prior art keywords
gas
process chamber
chip
supplying holes
films
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710096228.9A
Other languages
English (en)
Other versions
CN107240563B (zh
Inventor
竹田刚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN107240563A publication Critical patent/CN107240563A/zh
Application granted granted Critical
Publication of CN107240563B publication Critical patent/CN107240563B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及衬底处理装置及半导体器件的制造方法。提供一种能够提高所形成的膜的膜质的技术。具有:处理室,对衬底进行处理;气体供给部,设置于处理室内,供给对衬底进行处理的处理气体;等离子体产生部,设置于处理室内,使处理气体活化;和缓冲部,形成用于收纳等离子体产生部的至少一部分的缓冲室,且具有对衬底供给活化后的处理气体的气体供给孔,缓冲部具有将气体供给孔的一部分切去而形成的槽部。

Description

衬底处理装置及半导体器件的制造方法
技术领域
本发明涉及衬底处理装置、半导体器件的制造方法及记录介质。
背景技术
作为半导体器件(Device)的制造工序的一个工序,有时进行下述衬底处理,即将衬底搬入衬底处理装置的处理室内,向处理室内供给原料气体和反应气体从而在衬底上形成绝缘膜、半导体膜、导体膜等各种膜、除去各种膜。
现有技术文献
专利文献
[专利文献1]日本专利第4526540号公报
发明内容
发明所要解决的问题
对于所形成的图案变得微细的近年来的量产器件而言,不仅需要如上所述的衬底处理,而且为了抑制杂质的扩散、为了能够使用有机材料等耐热性低的材料,而要求通过在低温条件下进行衬底处理,从而提高所形成的膜的膜质。
本发明的目的在于,提供一种能够提高所形成的膜的膜质的技术。
用于解决问题的手段
根据本发明的一个方式,提供一种技术,具有:
处理室,对衬底进行处理,
气体供给部,设置于所述处理室内,供给对所述衬底进行处理的处理气体,
等离子体产生部,设置于所述处理室内,使所述处理气体活化,和
缓冲部,形成用于收纳所述等离子体产生部的至少一部分的缓冲室,且具有对所述衬底供给活化后的所述处理气体的气体供给孔,
所述缓冲部具有将所述气体供给孔的一部分切去而形成的槽部。
发明效果
根据本发明,能够提高所形成的膜的膜质。
附图说明
[图1]是在本发明的实施方式中适合使用的衬底处理装置的立式处理炉的概略构成图,是用纵剖视图表示处理炉部分的图。
[图2]是在本发明的实施方式中适合使用的衬底处理装置的立式处理炉的概略构成图,是用图1的A-A线剖面表示处理炉部分的图。
[图3]是在本发明的实施方式中适合使用的衬底处理装置的缓冲结构的概略构成图。
[图4](a)是用图3的B-B线剖面表示在本发明的实施方式中适合使用的衬底处理装置的缓冲结构的图。(b)是用图3的C-C线剖面表示在本发明的实施方式中适合使用的衬底处理装置的缓冲结构的图。
[图5]是以图3的区域D将在本发明的实施方式中适合使用的衬底处理装置的缓冲结构放大而得到的概略图。
[图6]是在本发明的实施方式中适合使用的衬底处理装置的控制器的概略构成图,且是用框图表示控制器的控制***的图。
[图7]是表示本发明中的衬底处理过程的流程的图。
[图8]使表示本发明中的第一实施方式的变形例的图。
[附图标记说明]
200…晶片,
201…处理室,
207…加热器(加热装置),
217…晶舟(衬底支承件),
232a、232b、232c、232d…气体供给管,
237…缓冲室,
249a、249b…喷嘴,
250a、250b、250c…气体供给孔,
300…缓冲结构(缓冲部),
301,801…沟槽结构(槽部)
具体实施方式
(本发明的第一实施方式)
以下,参照图1~图6说明本发明的第一实施方式。
(1)衬底处理装置的构成
如图1所示,处理炉202具有作为加热装置(加热机构)的加热器207。加热器207是圆筒状,通过支承于作为保持板的加热器基底(未图示)而被垂直地安装。如后述那样,加热器207也作为利用热量使气体活化(激发)的活化机构(激发部)发挥作用。
(处理室)
在加热器207的内侧与加热器207呈同心圆状地配设有反应管203。反应管203例如由石英(SiO2)或者碳化硅(SiC)、氮化硅(SiN)等耐热性材料构成,并且形成为上端封闭、下端开口的圆筒状。在反应管203的下方与反应管203呈同心圆状地配设有集流管(入口凸缘)209。集流管209例如由不锈钢(SUS)等金属构成,并且形成为上端和下端开口的圆筒状。集流管209的上端部构成为,与反应管203的下端部卡合,支承反应管203。在集流管209和反应管203之间设置有作为密封构件的O型环220a。通过将集流管209支承于加热器基底,而使反应管203处于被垂直地安装好的状态。主要由反应管203和集流管209构成处理容器(反应容器)。在处理容器的筒空心部形成有处理室201。处理室201构成为能够收纳多片作为衬底的晶片200。需要说明的是,处理容器不限于上述构成,有时还仅将反应管203称为处理容器。
在处理室201内以贯穿集流管209的侧壁的方式设置有喷嘴249a、249b。喷嘴249a、249b上分别连接有气体供给管232a、232b。这样一来,在反应管203上设置有两根喷嘴249a、249b和两根气体供给管232a、232b,能够向处理室201内供给多种气体。
在气体供给管232a、232b上,从上游方向起分别依次设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a、241b和作为开闭阀的阀243a、243b。气体供给管232a、232b的比阀243a、243b靠下游侧的位置分别连接有用于供给非活性气体的气体供给管232c、232d。在气体供给管232c、232d上,从上游方向起分别依次设置有MFC241c、241d和阀243c、243d。
如图2所示,喷嘴249a设置于在反应管203的内壁和晶片200之间的、俯视观察为圆环状的空间中,以沿着从反应管203的内壁的下部向上部的方向,朝着晶片200的搭载方向上方立起的方式设置。即,喷嘴249a以在供晶片200排列的晶片排列区域的侧方的、沿着水平方向包围晶片排列区域而成的区域中,沿着晶片排列区域的方式设置。即,喷嘴249a在已向处理室201内搬入的各晶片200的端部(周缘部)的侧方,与晶片200的表面(平坦面)垂直地设置。在喷嘴249a的侧面设置有用于供给气体的气体供给孔250a。气体供给孔250a以朝向反应管203的中心的方式开口,从而能够朝向晶片200供给气体。气体供给孔250a从反应管203的下部至上部的范围内设置有多个。
喷嘴249b与气体供给管232b的前端部连接。喷嘴249b设置在作为气体分散空间的缓冲室237内。如图2所示,缓冲室237设置于反应管203的内壁和晶片200之间的、俯视观察为圆环状的空间中,而且在反应管203的内壁的下部至上部范围内的部分,沿着晶片200的搭载方向设置。即,缓冲室237以在晶片排列区域的侧方的、沿着水平方向包围晶片排列区域的区域中,沿着晶片排列区域的方式由缓冲结构(缓冲部)300形成。缓冲结构300由石英等绝缘物构成,在缓冲结构300的、以圆弧状形成的壁面上设置有用于供给气体的气体供给孔250c。气体供给孔250c以朝向反应管203的中心的方式开口,从而能够朝向晶片200供给气体。气体供给孔250c从反应管203的下部至上部的范围内设置有多个。
如图3所示,缓冲结构300设置有沟槽结构(槽部)301,所述沟槽结构以连结从最上位的气体供给孔250c至最下位的气体供给孔250c之间的方式形成。沟槽结构301通过将缓冲结构300的壁面的一部分,即气体供给孔250c的一部分在垂直方向上切去而形成。如图4(a)及图4(b)所示,沟槽结构301没有像气体供给孔250c那样贯穿至缓冲室237而形成。即,沟槽结构301没有贯穿缓冲结构的壁面。假设,当沟槽结构以贯穿至缓冲室237的方式形成时,即当将缓冲结构的气体供给孔设为狭缝形状时,在垂直方向上,借助于在缓冲室237内生成的等离子体而形成的活性种难以向晶片200均匀供给,从而晶片200的表面间均匀性降低。
通过以上述方式构成,能够缓和气体供给孔250c的表面由于等离子体而带电(充电)的量,能够抑制在气体供给孔250c中发生异常放电。
如图5所示,在气体供给孔250c中发生异常放电的原因在于,通过后述的等离子体产生部而在缓冲室内生成的等离子体泄露至晶片200侧,从而在气体供给孔250c的晶片200侧的表面上,电子501发生带电。特别的,若在气体供给孔250c的内壁上,一定量的电子501发生带电的话,则带电粒子由于集中在气体供给孔250c的中央的电场的作用而加速,从而会根据气体供给孔250c内侧的压力而发生异常放电(空心放电)。为了抑制如上所述的异常放电,需要减少在气体供给孔250c的内壁发生带电的带电量。沟槽结构301能够减少在气体供给孔250c的内壁处能够带电的表面积。这里,关于沟槽结构301,需要以沟槽结构的宽度(短边方向)小于气体供给孔250c的直径的方式形成缺口。适合的是,将沟槽结构301的气体供给孔250c的内壁切去的宽度可形成为气体供给孔250c的半径以上且小于直径。假设沟槽结构301的宽度形成为气体供给孔250c的直径以上时,则成为与在气体供给孔250c的内壁不设置缺口的情况相同的结构,不能降低在气体供给孔250c的表面充电的量,不能一直异常放电。另外,将沟槽结构301的气体供给孔250c的内壁切去的深度优选构成为气体供给孔250c的半径的1/2以上。假设沟槽结构301的切去深度小于气体供给孔250c的半径的1/2时,则带电量的降低效果变得过小,不能抑制异常放电。
在缓冲室237的与设置有气体供给孔250c的端部相反一侧的端部,以沿着从反应管203的内壁的下部朝向上部的方向、朝着晶片200的搭载方向上方立起的方式设置喷嘴249b。即,喷嘴249b以在缓冲结构300的内侧,且在排列有晶片200的晶片排列区域的侧方的、沿着水平方向包围晶片排列区域的区域中,沿着晶片排列区域的方式设置。即,喷嘴249b在已向处理室201内搬入的晶片200的端部的侧方,与晶片200的表面垂直地设置。在喷嘴249b的侧面设置有用于供给气体的气体供给孔250b。气体供给孔250b以朝向缓冲室237的中心的方式开口。与气体供给孔250c一样,气体供给孔250b从反应管203的下部至上部设置有多个。
这样一来,在本实施方式中,经由配置在由反应管203的侧壁的内壁和在反应管203内排列的多个晶片200的端部定义成的俯视观察为圆环状的纵长的空间内、即、圆筒状的空间内配置的喷嘴249a、249b以及缓冲室237来搬送气体。而且,使气体从开设在喷嘴249a、249b以及缓冲室237上的气体供给孔250a~250c先喷出到晶片200附近的反应管203内。而且,使反应管203内的气体的主要流动沿着与晶片200的表面平行的方向、即水平方向进行。通过形成这样的结构,能够向各晶片200均匀地供给气体,能够使形成在各晶片200的膜的膜厚的均匀性提高。在晶片200的表面上流动的气体、即反应后的剩余气体朝向排气口、即后述的排气管231的方向流动。但是,该剩余气体的流动方向能够根据排气口的位置适当的选择,并不限于垂直方向。
作为包含规定元素的原料,例如将包含作为规定元素的硅(Si)的硅烷原料气体从气体供给管232a经由MFC241a、阀243a、喷嘴249a向处理室201内供给。
所谓硅烷原料气体,是指气态的硅烷原料,例如是在常温常压下将液态的硅烷原料气化而得到的气体、或者常温常压下呈气态的硅烷原料等。本说明书中使用“原料”这一用语的情况包含:指的是“液态的液体原料”的情况、指的是“气态的原料气体”的情况或者指的是这样两种意思的情况。
作为硅烷原料气体,例如能够使用双叔丁基氨基硅烷(SiH2[NH(C4H9)]2,简称:BTBAS)气体。BTBAS气体作为Si源而发挥作用。
当使用BTBAS这样的常温常压下为液态的液体原料时,利用气化器、起泡器等气化***将液态的原料气化,从而以硅烷原料气体(BTBAS气体等)的形式供给。
作为与原料化学结构不同的反应体(反应物),例如将氧(O)含有气体从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给。
含O气体作为氧化剂(氧化气体),即O源而发挥作用。作为含O气体,例如能够使用氧(O2)气体、水蒸气(H2O气体)等。党作为氧化剂而使用O2气体时,例如使用后述的等离子体源将上述气体进行等离子体激发,从而以等离子体激发气体(O2 气体)供给。
作为非活性气体,例如将氮(N2)气体从气体供给管232c、232d分别经由MFC241c、241d、阀243c、243d、气体供给管232a、232b、喷嘴249a、249b向处理室201内供给。
主要由气体供给管232a、MFC241a、阀243a构成作为第一气体供给***的原料供给***。主要由气体供给管232b、MFC241b、阀243b构成作为第二气体供给***的反应体供给***(反应物供给***)。主要由气体供给管232c、232d、MFC241c、241d、阀243c、243d构成非活性气体供给***。有时也将原料供给***、反应体供给***及非活性气体供给***简称为气体供给***(气体供给部)。
(等离子体产生部)
如图2所示,在缓冲室237内,由导电体构成的、具有细长的结构的两根棒状电极269、270,从反应管203的下部至上部沿着晶片200的搭载方向排列。棒状电极269、270分别与喷嘴249b平行地设置。棒状电极269、270从上部至下部各自被电极保护管275所覆盖而被保护起来。棒状电极269、270中的任一个电极借助匹配器272与高频电源273相连,另一个电极与作为基准电位的接地线相连。通过从高频电源273向棒状电极269、270间施加高频(RF)电力,而在棒状电极269、270间的等离子体产生区域224产生等离子体。主要利用棒状电极269、270、电极保护管275构成作为等离子体产生器(等离子体产生部)的等离子体源。也可以考虑将匹配器272、高频电源273包含在等离子体源中。如后述那样,等离子体源作为对气体进行等离子体激活、即、使气体激活(活化)到等离子体状态的等离子体激活部(活化机构)发挥作用。
电极保护管275成为能够使棒状电极269、270分别以与缓冲室237内的气氛分离开的状态向缓冲室237内***的结构。如果电极保护管275的内部的O浓度与外部气体(大气)的O浓度基本相同,则分别向电极保护管275内***的棒状电极269、270会因加热器207的热量而被氧化。通过向电极保护管275的内部预填充N2气体等非活性气体,或者使用非活性气体吹扫机构,利用N2气体等非活性气体对电极保护管275的内部进行吹扫,则能够使电极保护管275的内部的O浓度降低,从而能够防止棒状电极269、270的氧化。
(排气部)
在反应管203上设置有用于对处理室201内的气氛进行排气的排气管231。在排气管231上,经由作为检测处理室201内的压力的压力检测器(压力检测部)的压力传感器245和作为排气阀(压力调整部)的APC(Auto Pressure Controller)阀244,连接作为真空排气装置的真空泵246。APC阀244是以如下方式构成的阀:通过在使真空泵246工作着的状态下开闭阀,能够执行处理室201内的真空排气和真空排气停止,而且,在使真空泵246工作着的状态下,通过基于由压力传感器245检测出的压力信息来调节阀开度,能够调整处理室201内的压力。主要利用排气管231、APC阀244、压力传感器245构成排气***。也可以考虑将真空泵246包含在排气***中。排气管231并不限于设置在反应管203上,也可以与喷嘴249a、249b一样设置在集流管209上。
在集流管209的下方设置有作为能够将集流管209的下端开口气密地封闭的炉口盖体的密封盖219。密封盖219以从垂直方向下侧与集流管209的下端抵接方式构成。密封盖219例如由SUS等金属构成且形成为圆盘状。在密封盖219的上表面设置有作为与集流管209的下端抵接的密封部件的O型环220b。在密封盖219的与处理室201相反一侧设置有用于使后述的晶舟217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封盖219而与晶舟217相连。旋转机构267以通过使晶舟217旋转来使晶片200旋转的方式构成。密封盖219在垂直地设置在反应管203的外部的作为升降机构的晶舟升降机115的带动下在垂直方向上升降的方式构成。晶舟升降机115以能通过使密封盖219升降来将晶舟217向处理室201内搬入和向处理室201外搬出的方式构成。晶舟升降机115构成为将晶舟217、即晶片200向处理室201内外搬送的搬送装置(搬送机构)。此外,在集流管209的下方设置有在利用晶舟升降机115使密封盖219下降期间能够气密地将集流管209的下端开口密封的作为炉口盖体的闸门219s。闸门219s例如由SUS等金属构成且形成为圆盘状。在闸门219s的上表面设置有作为与集流管209的下端抵接的密封部件的O型环220c。闸门219s的开闭动作(升降动作、转动动作等)由闸门开闭机构115s来控制。
(衬底支承件)
如图1所示,作为衬底支承件的晶舟217以如下方式构成:使多片例如25片~200片的晶片200在水平姿态且彼此中心对齐的状态下沿着垂直方向排列且支承为多层,即,隔开规定的间隔地排列。晶舟217例如由石英、SiC等耐热性材料构成。例如由石英、SiC等耐热性材料构成的隔热板218在晶舟217的下部支承有多层。
如图2所示,在反应管203的内部设置有作为温度检测器的温度传感器263。通过基于由温度传感器263检测出的温度信息来调整向加热器207通电的情况,使处理室201内的温度达到所希望的温度分布。温度传感器263与喷嘴249a、249b一样沿着反应管203的内壁设置。
(控制装置)
下面使用图6就控制装置进行说明。如图6所示,作为控制部(控制装置)的控制器121,构成为具有CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、存储装置121c、I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d以借助内部总线121e能够与CPU121a进行数据交换的方式构成。例如作为触摸面板等而构成的输入输出装置122与控制器121相连。
存储装置121c例如由闪存、HDD(Hard Disk Drive)等构成。在存储装置121c内可读取地存储有控制衬底处理装置的动作的控制程序后述的成膜处理的顺序、条件等的工艺制程等。工艺制程是以使后述的各种处理(成膜处理)中的各工序在控制器121中执行,然后获得所规定的结果的方式将后述的成膜处理中的各工序组合起来而成的程序,并且作为程序发挥作用。以下,将工艺制程、控制程序等概括起来,简称为程序。此外,也可以将工艺制程简称为制程程序。在本说明书中使用程序这一用语的情况是只包含制程程序单体的情况、只包含控制程序单体的情况或者包含这两者的情况。RAM121b是作为临时保存由CPU121a读取出来的程序、数据等的存储区域(工作区域)而构成。
I/O端口121d与上述MFC241a~241d、阀243a~243d、压力传感器245、APC阀244、真空泵246、加热器207、温度传感器263、旋转机构267、晶舟升降机115等相连。
CPU121a以在从存储装置121c读取出控制程序并执行控制程序的同时,根据利用输入输出装置122作出的操作命令的输入等从存储装置121c读取出制程程序的方式构成。CPU121a以根据读取出来的制程程序的内容控制如下动作的方式构成,这些动作包括:旋转机构267的控制,利用MFC241a~241d对各种气体的流量调整动作、阀243a~243d的开闭动作、APC阀244的开闭动作、基于压力传感器245进行的APC阀244的压力调整动作、真空泵246的起动和停止、基于温度传感器263进行的加热器207的温度调整动作、利用旋转机构267进行的晶舟217的正反旋转、旋转角度和对旋转速度的调节动作、利用晶舟升降机115进行的晶舟217的升降动作等。
控制器121能够通过将收纳在外部存储装置(例如,硬盘等磁盘、CD等光盘、MO等光磁盘、USB存储器等半导体存储器)123中的上述程序安装到计算机中而构成。存储装置121c、外部存储装置123作为计算机可读取的存储介质而构成。以下,将这些统称为存储介质。在本说明书中使用存储介质这样的用语的情况是只包含存储装置121c单体的情况、只包含外部存储装置123单体的情况或者包含这两者的情况。此外,向计算机提供程序也可以不使用外部存储装置123,而使用网络、专用线路等通信方式。
(2)衬底处理工序
下面,参照图7,对使用衬底处理装置100,从而作为半导体器件的制造工序的一道工序,在晶片200上形成薄膜的工序进行说明。在以下说明中,构成衬底处理装置的各部的动作由控制器121控制。
这里,通过将作为原料气体供给BTBAS气体的步骤、作为反应气体供给被等离子体激发后的O2气体的步骤非同时(即非同步地)进行规定次数(1次以上),从而在晶片200上形成硅氧化膜(SiO膜)作为包含Si和O的膜的例子。另外,例如,也可以在晶片200上预先形成规定的膜。另外,也可以在晶片200或规定的膜上预先形成规定的图案。
在本说明书中,方便起见,有时将图7所示的成膜处理的过程流程按以下方式表示。在以下变形例、其他实施方式的说明中,也使用同样的表述。
在本说明书中,在使用“晶片”这一用语的情况包含:指的是“晶片本身”的情况和指的是“晶片和形成于其表面的规定的层、膜等的层叠体(集合体)”的情况,即,包含形成在表面的规定的层、膜等而称为晶片的情况。此外,在本说明书中使用“晶片的表面”这一用语的情况包含:指的是“晶片本身的表面(露出面)”的情况、指的是“形成在晶片上的规定的层、膜等的表面,即作为层叠体的晶片的最外侧表层面”的情况。
因此,在本说明书中记载有“向晶片供给规定的气体”的情况包含:指的是“向晶片本身的表面(露出面)直接供给规定的气体”的情况、指的是“向形成在晶片上的层、膜,即作为层叠体的晶片的最外侧表层面供给规定的气体”的情况。此外,在本说明书中记载有“在晶片上形成规定的层(或者膜))”的情况包含:指的是“在晶片本身的表面(露出面)上直接形成规定的层(或者膜)”的情况、指的是“在形成在晶片上的层、膜等之上、即、在作为层叠体的晶片的最外侧表层面之上形成规定的层(或者膜)”的情况。
此外,在本说明书中使用“衬底”这一用语的情况也与使用“晶片”这一用语的情况意义相同。
(搬入步骤:S1)
在将多片晶片200填装(晶片装载)到晶舟217上时,利用闸门开闭机构115s使闸门219s移动,从而使集流管209的下端开口敞开(打开闸门)。之后,如图1所示,支承多片晶片200的晶舟217被晶舟升降机115抬起并搬入(晶舟加载)到处理室201内。在该情况下,密封盖219处于借助O型环220b将集流管209的下端密封的状态。
(压力温度调整步骤:S2)
为了使处理室201的内部即存在有晶片200的空间达到所希望的压力(真空度),利用真空泵246进行真空排气(减压排气)。此时,利用压力传感器245测量处理室201内的压力,基于该测量的压力信息对APC阀244进行反馈控制。使真空泵246维持在一直工作的状态直至后述的成膜步骤完成为止。
此外,为了使处理室201内的晶片200达到所希望的温度,利用加热器207进行加热。此时,为了使处理室201内达到所希望的温度分布,基于温度传感器263检测出的温度信息,对向加热器207通电的情况进行反馈控制。持续执行加热器207对处理室201内的加热,直至后述的成膜步骤完成为止。然而,当在室温以下的温度条件下进行成膜步骤时,也可以不进行利用加热器207对处理室201内进行的加热。需要说明的是,当仅进行在上述温度下的处理时,无需加热器207,也可以不再衬底处理装置设置加热器207。此时,能够使衬底处理装置的构成变得简易。
接下来,开始利用旋转机构267进行的晶舟217及晶片200的旋转。利用旋转机构267进行的晶舟217及晶片200的旋转至少在直至成膜步骤完成为止持续进行。
(成膜步骤:S3、S4、S5、S6)
之后,通过一次执行步骤S3、S4、S5、S6从而进行成膜步骤。
(原料气体供给步骤:S3、S4)
在步骤S3中,对处理室201内的晶片200供给BTBAS气体。
打开阀243a,向气体供给管232a内流过BTBAS气体。BTBAS气体利用MFC241a进行流量调节,经由喷嘴249a而从气体供给孔250a向处理室201内供给,从排气管231排气。与此同时,打开阀243c,向气体供给管232c内流过N2气体。N2气体利用MFC241c进行流量调节,与BTBAS气体一同向处理室201内供给,从排气管231排气。
另外,为了抑制BTBAS气体向喷嘴249b内侵入,打开阀243d,向气体供给管232d内流过N2气体。N2气体经由气体供给管232b、喷嘴249b向处理室201内供给,从排气管231排气。
通过MFC241a控制的BTBAS气体的供给流量例如设为1sccm以上且2000sccm以下,优选为10sccm以上且1000sccm以下的范围内的流量。通过MFC241c、241d控制的N2气体的供给流量分别例如设为100sccm以上且10000sccm以下的范围内的流量。处理室201内的压力例如设为1Pa以上且2666Pa以下,优选为67Pa以上且1333Pa的范围内的压力。晶片200暴露于BTBAS气体的时间例如设为1秒以上且100秒以下,优选为1秒以上且50秒以下的范围内的时间。
加热器207的温度设定为下述温度,以使得晶片200的温度例如成为0℃以上且150℃以下,优选为室温(25℃)以上且100℃以下,更优选为40℃以上且90℃以下的范围内的温度。BTBAS气体为易于吸附至晶片200等吸附、反应性高的气体。因此,例如即便在室温左右的低温下,也能够在晶片200上化学吸附BTBAS气体,能够获得实用的成膜速率。如本实施方式所述,通过将晶片200的温度设为150℃以下,进一步为100℃以下,进一步为90℃以下,能够减少施加到晶片200的热量,且能够良好地控制晶片200所受到的热历史。另外,若为0℃以上的温度,则能够在晶片200上充分吸附BTBAS,能够获得充分的成膜速率。因而,可将晶片200的温度设为0℃以上且150℃以下,优选为室温以上且100℃以下,更优选为40℃以上且90℃以下的范围内的温度。
通过在上述条件下对晶片200供给BTBAS气体,能够在晶片200(表面的基底膜)上,例如形成不足1原子层(1分子层)至数原子层(数分子层)左右的厚度的含Si层。含Si层既可以是Si层,也可以是BTBAS的吸附层,还可以包含上述两者。
所谓Si层,是指除包含由Si构成的连续的层外,还包括不连续的层、它们重叠而成的Si薄膜的总称。构成Si层的Si还包括与氨基的键没有被完全切断的Si、与H的键没有被完全切断的Si。
BTBAS的吸附层除了包含由BTBAS分子构成的连续的吸附层以外,也包含不连续的吸附层。构成BTBAS的吸附层的BTBAS分子也包含Si与氨基的键被部分切断的分子、Si与H的键被部分切断的分子、N与C的键被部分切断的分子等。即,BTBAS的吸附层也可以是BTBAS的物理吸附层,也可以是BTBAS的化学吸附层,也可以包含上述两者。
这里,不足1原子层(1分子层)厚度的层指的是不连续形成的原子层(分子层),1个原子层(1分子层)的厚度层指的是连续形成的原子层(分子层)。含Si层能包含Si层和BTBAS的吸附层这两者。但是,如上所述,关于含Si层,使用“1原子层”、“数原子层”等的方式,并且以与“分子层”同样的含义来使用“原子层”。
在BTBAS发生自分解(热分解)的条件下,即发生BTBAS的热分解反应的条件下,通过Si在晶片200上的堆积,从而形成Si层。在BTBAS不发生自分解(热分解)的条件下,即,不发生BTBAS的热分解反应的条件下,通过BTBAS在晶片200上的吸附,从而形成BTBAS的吸附层。然而,在本实施方式中,由于将晶片200的温度例如设为150℃以下的低温,因此难以发生BTBAS的热分解。结果,在晶片200上不是易于形成Si层,而是易于形成BTBAS的吸附层。
当晶片200上所形成的含Si层的厚度大于数原子层时,在后述的改质处理中,改质的作用不能到达整个含Si层。另外,能够在晶片200上形成的含Si层的厚度的最小值不足1原子层。因而,含Si层的厚度优选设为不足1原子层至数原子层左右。通过将含Si层的厚度设为1原子层以下,即1原子层或不足1原子层,能够相对地提高在后述的改质处理中的改质的作用,能够缩短改质处理的改质反应所要的时间。还能够缩短改质处理的含Si层的形成所需要的时间。结果,能够缩短每1循环的处理时间,还能够缩短整体的处理时间。即,还能够提高成膜速率。另外,通过将含Si层的厚度设为1原子层以下,还能够提高膜厚均匀性的控制性。
在形成含Si层后,关闭阀243a,停止向处理室201内供给BTBAS气体。此时,保持APC阀244打开,利用真空泵246将处理室201内真空排气,将残留在处理室201内的未反应或者对含Si层的形成做出贡献后的BTBAS气体、反应副产物等从处理室201内排除(S4)。另外,保持阀243c、243d打开,维持向处理室201内供给N2气体。N2气体作为吹扫气体而发挥作用。需要说明的是,也可以省略上述步骤S4。
作为原料气体,除BTBAS气体外,还适合使用四(二甲氨基)硅烷(Si[N(CH3)2]4,简称:4DMAS)气体、三(二甲基氨基)硅烷(Si[N(CH3)2]3H,简称:3DMAS)气体,双二甲基氨基硅烷(Si[N(CH3)2]2H2,简称:BDMAS)气体、双(二乙基氨基)硅烷(Si[N(C2H5)2]2H2,简称:BDEAS)气体等。除此以外,作为原料气体,还适合使用二甲基氨基硅烷(DMAS)气体、二乙基氨基硅烷(DEAS)气体、二丙基氨基硅烷(DPAS)气体、二异丙基氨基硅烷(DIPAS)气体、丁基氨基硅烷(BAS)气体、六甲基二硅氮烷(HMDS)气体等各种氨基硅烷原料气体、一氯甲硅烷(SiH3Cl,简称:MCS)气体、二氯甲硅烷(SiH2Cl2,简称:DCS)气体、三氯甲硅烷(SiHCl3,简称:TCS)气体、四氯硅烷即硅四氯化硅(SiCl4,简称:STC)气体、六氯乙硅烷(Si2Cl6,简称:HCDS)气体、八氯三硅烷(Si3Cl8,简称:OCTS)气体等无机系卤代硅烷原料气体,甲硅烷(SiH4,简称:MS)气体、乙硅烷(Si2H6,简称:DS)气体、丙硅烷(Si3H8,简称:TS)气体等不含卤素基的无机系硅烷原料气体。
作为非活性气体,处N2气体外,还能够使用Ar气体、He气体、Ne气体、Xe气体等稀有气体。
(反应气体供给步骤:S5、S6)
成膜处理完成后,对处理室201内的晶片200供给作为反应气体的、等离子体激发后的O2气体(S5)。
在该步骤中,通过与步骤S3中的阀243a、243c、243d的开闭控制同样的步骤进行243b~243d的开闭控制。O2气体利用MFC241b进行流量调节,经由喷嘴249b向缓冲室237内供给。此时,向棒状电极269、270间供给高频电力。向缓冲室237内供给的O2气体被激发成等离子体状态,从而以活性种(O)的形式被供给至处理室201内,从排气管231排气。需要说明的是,也将被激发成等离子体状态的O2气体称为氧等离子体。
通过MFC241b控制的O2气体的供给流量例如设为100sccm以上且10000sccm以下的范围内的流量。施加至棒状电极269、270的高频电力例如设为50W以上且1000W以下的范围内的电力。处理室201内的压力例如设为1Pa以上且100Pa以下的范围内的压力。处理室201内的O2气体的分压例如设为0.01Pa以上且100Pa以下的范围内的压力。通过使用等离子体,即便将处理室201内的压力设为这样的较低的压力范围,也能够使O2气体活化。将通过对O2气体进行等离子体激发而得到的活性种相对于晶片200进行供给的时间,即气体供给时间(照射时间)例如设为1秒以上且120秒以下,优选为1秒以上且60秒以下的范围内的时间。关于其他处理条件,设为与上述S3同样的处理条件。
通过在上述条件下对晶片200供给O2气体,在晶片200上形成的含Si层被等离子体氧化。此时,通过被等离子体激发了的O2气体的能量,含Si层所具有的Si-N键、Si-H键被切断。由此,与Si的键被切断了的N、H及与N键合的C从含Si层脱离。并且,(通过N等脱离而成为具有未结合键(悬挂键)的)含Si层中的Si与O2气体中所含的O成键,从而形成Si-O键。通过上述反应的进行,含Si层变为包含Si和O的层,即变化为硅氧化层(SiO层)(被改质)。
需要说明的是,对于将含Si层改质为SiO层而言,需要将O2气体进行等离子体激发从而供给。这是由于,即便在非等离子体的气氛下供给了O2气体,在上述温度区域内,对于将含Si层氧化所需的能量不足,难以使N、C充分地从含Si层脱离,难以使含Si层充分氧化从而增加Si-O键。
在使含Si层变化为SiO层后,关闭阀243b,停止供给O2气体。另外,停止向棒状电极269、270间供给高频电力。并且,通过与步骤S4同样的处理步骤、处理条件,将残留在处理室201内的O2气体、反应副产物从处理室201内排除(S6)。需要说明的是,也可以省略上述步骤S6。
关于氧化剂、即作为被等离子体激发的含O气体,除O2气体外,还可使用一氧化二氮(N2O)气体、一氧化氮(NO)气体、二氧化氮(NO2)气体、臭氧(O3)气体、过氧化氢(H2O2)气体、水蒸气(H2O气体)、一氧化碳(CO)气体、二氧化碳(CO2)气体等。
作为非活性气体,除N2气体外,例如还能够使用在步骤S4列举的各种稀有气体。
(实施规定次数:S7)
将非同时地(即非同步地)依次进行上述S3、S4、S5、S6作为1循环,通过将上述循环进行规定次数(n次),即1次以上(S7),从而能够在晶片200上形成规定组成及规定膜厚的SiO膜。上述的循环优选重复多次。即,优选地,使每1循环所形成的SiO层的厚度小于所期望的膜厚,重复多次上述循环,直至将SiO层层叠所形成的SiO膜的膜厚成为所期望的膜厚。
(大气压恢复步骤:S8)
上述的成膜处理完成后,分别从气体供给管232c、232d向处理室201内供给作为非活性气体的N2气体,从排气管231排气。由此,通过非活性气体对处理室201内进行吹扫,从而将残留在处理室201内的O2气体等从处理室201内除去(非活性气体吹扫)。之后,将处理室201内的气氛置换为非活性气体(非活性气体置换),使处理室201内的压力恢复到常压(S8)。
(搬出步骤:S9)
之后,利用晶舟升降机115使密封盖219下降,从而使集流管209的下端开口,然后将已处理的晶片200在支承于晶舟217的状态下从集流管209的下端搬出到反应管203的外部(晶舟卸载)(S9)。晶舟卸载之后,移动闸门219s,利用闸门219s借助O型环220c将集流管209的下端开口密封(闸门关闭)。已处理的晶片200在被搬出到反应管203的外部之后,从晶舟217将晶片200取出(晶片取出)。需要说明的是,也可以在晶片取出后,向处理室201内搬入空的晶舟217.
(3)本实施方式的效果
通过本实施方式,能够获得以下所示的一个或者多个效果。
(a)通过在缓冲结构300的气体供给孔250c中形成沟槽结构301,能够抑制电子在气体供给孔250c的表面上发生带电,能够抑制从气体供给孔250c发生异常放电。
(b)通过不使沟槽结构301贯穿至缓冲室237,能够维持缓冲结构300的强度,能够向多个晶片200(在垂直方向上被保持为多层)上均匀地供给活性种。
(c)通过设置沟槽结构,能够通过简易的结构抑制异常放电,能够抑制成本增加。
(变形例1)
下面,使用图8,说明本发明的变形例。在最上位的气体供给孔250c的上方、及最下位的气体供给孔250c的下方没有形成第一实施方式的沟槽结构,与此相对,本变形例中,在最上位的气体供给孔250c的上方形成沟槽结构801a,在最下位的气体供给孔250c的下方形成沟槽结构801b。通过以上述方式构成,由于在最上位及最下位的气体供给孔250c中,也与形成于其他位置的气体供给孔250c同样地进行了切去,因此能够抑制气体供给孔250c的表面上的带电,能够进一步提高晶片200的表面间的均匀性。
以上,对本发明的实施方式进行了具体说明。但是,本发明并不限于上述实施方式,能够在不脱离其主旨的范围内,进行各种改变。
例如,在上述实施方式及变形例中,在缓冲结构300中形成的沟槽形状以在垂直方向上连结气体供给孔250c的方式形成。但是,本发明不限于上述方式,也可以不连结气体供给孔250c彼此,而分别在气体供给孔250c各自中形成沟槽结构,也可以不在垂直方向,而在水平方向、斜向上形成沟槽结构。当分别在气体供给孔250c各自中形成沟槽结构时,沟槽结构的长边方向优选为相对于气体供给孔的半径而以成为2的平方根的2倍以上的方式形成。
另外,例如,在上述实施方式中,对在供给原料后,供给反应体的例子进行了说明。但本发明不限于上述方式,原料、反应体的供给顺序也可以相反。即,也可以在供给反应体后,供给原料。通过改变供给顺序,能够改变所形成的膜的膜质、组成比。
在上述实施方式等中,对在晶片200上形成SiO膜的例子进行了说明。本发明不限于上述方式,也能适合地用于在晶片200上形成硅氧碳化膜(SiOC膜)、硅氧碳氮化膜(SiOCN膜)、硅氧氮化膜(SiON膜)等Si系氧化膜的情况,在晶片200上形成硅氮化膜(SiN膜)、硅碳氮化膜(SiCN膜)、硅硼氮化膜(SiBN膜)、硅硼碳氮化膜(SiBCN膜)、氮碳化硼膜(BCN膜)等Si系氮化膜的情况。在上述情况下,作为反应气体,除含O气体外,能够使用C3H6等含C气体、NH3等含N气体、BCl3等含B气体。
另外,本发明也可适用于下述情况:在晶片200上形成钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铌(Nb)、铝(Al)、钼(Mo)、钨(W)等金属元素的氧化膜、氮化膜、即包含金属系氧化膜、金属系氮化膜的情况。即、本发明还能够适用于下述情况:在晶片200上形成TiO膜、TiN膜、TiOC膜、TiOCN膜、TiON膜、TiBN膜、TiBCN膜、ZrO膜、ZrN膜、ZrOC膜、ZrOCN膜、ZrON膜、ZrBN膜、ZrBCN膜、HfO膜、HfN膜、HfOC膜、HfOCN膜、HfON膜、HfBN膜、HfBCN膜、TaO膜、TaOC膜、TaOCN膜、TaON膜、TaBN膜、TaBCN膜、NbO膜、NbN膜、NbOC膜、NbOCN膜、NbON膜、NbBN膜、NbBCN膜、AlO膜、AlN膜、AlOC膜、AlOCN膜、AlON膜、AlBN膜、AlBCN膜、MoO膜、MoN膜、MoOC膜、MoOCN膜、MoON膜、MoBN膜、MoBCN膜、WO膜、WN膜、WOC膜、WOCN膜、WON膜、MWBN膜、WBCN膜等。
上述情况下,例如作为原料气体,能够使用四(二甲氨基)钛(Ti[N(CH3)2]4,简称:TDMAT)气体、四(乙基甲基氨基)铪(Hf[N(C2H5)(CH3)]4,简称:TEMAH)气体、四(乙基甲基氨基)锆(Zr[N(C2H5)(CH3)]4,简称:TEMAZ)气体、三甲基铝(Al(CH3)3,简称:TMA)气体、四氯化钛(TiCl4)气体、四氯化铪(HfCl4)气体等。作为反应气体,能够使用上述反应气体。
即,本发明能够适用于形成包含半金属元素的半金属系膜、包含金属元素的金属系膜的情况。这些成膜处理的处理步骤、处理条件可设为与上述实施方式、变形例所示的成膜处理同样的处理步骤、处理条件。在上述情况下,也能够获得与上述实施方式、变形例同样的效果。
优选地,成膜处理中使用的制程根据处理内容而分别地准备,并经由电通信线路、外部存储装123而预先存储在存储装置121c内。并且,优选地,在开始各种处理时,CPU121a根据处理内容从存储在存储装置121c内的多个制程中适宜选择适当的制程。由此,能够通过1台衬底处理装置通用地、且重现性良好地形成各种膜种类、组成比、膜质、膜厚的薄膜。此外,既能减小操作员的负担,避免操作错误,又能迅速地开始各种处理。
上述制程并不限于新制作的情况,例如,也可以通过变更衬底处理装置中已安装的既存的制程来准备。在变更制程的情况下,也可以将变更后的制程经由电通信线路、记录有该制程的记录介质安装于衬底处理装置。此外,也可以对既存的衬底处理装置所具备的输入输出装置122进行操作,直接变更已安装于衬底处理装置的既存的制程。
[工业实用性]
如上所述,本发明能够提供一种能够提高所形成的膜的膜质的技术。

Claims (8)

1.一种衬底处理装置,具有:
处理室,对衬底进行处理,
气体供给部,设置于所述处理室内,供给对所述衬底进行处理的处理气体,
等离子体产生部,设置于所述处理室内,使所述处理气体活化,和
缓冲部,形成用于收纳所述等离子体产生部的至少一部分的缓冲室,且具有对所述衬底供给活化后的所述处理气体的气体供给孔,
所述缓冲部具有将所述气体供给孔的一部分切去而形成的槽部。
2.根据权利要求1所述的衬底处理装置,其中,所述缓冲部具有多个所述气体供给孔,所述槽部以连结所述多个气体供给孔的方式形成。
3.根据权利要求1所述的衬底处理装置,其中,所述槽部以所述槽部的短边方向为所述气体供给孔的半径以上且小于直径的方式形成。
4.根据权利要求1所述的衬底处理装置,其中,所述槽部形成为比所述缓冲部的壁厚浅。
5.根据权利要求1所述的衬底处理装置,其中,所述槽部的深度以成为所述气体供给孔的半径的1/2以上的方式形成。
6.根据权利要求1所述的衬底处理装置,其中,所述槽部设置于比位于最上位的所述气体供给孔更靠上方。
7.根据权利要求6所述的衬底处理装置,其中,所述槽部设置于比位于最下位的所述气体供给孔更靠下方。
8.一种半导体器件的制造方法,具有:
向下述衬底处理装置的处理室内搬入衬底的工序,
将所述衬底在所述处理室内进行处理的工序,和
将所述衬底从所述处理室搬出的工序,
其中,所述衬底处理装置具有:
处理室,对衬底进行处理,
气体供给部,设置于所述处理室内,供给对所述衬底进行处理的处理气体,
等离子体产生部,设置于所述处理室内,使所述处理气体活化,和
缓冲部,形成用于收纳所述等离子体产生部的至少一部分的缓冲室,且具有对所述衬底供给活化后的所述处理气体的气体供给孔,
所述缓冲部具有将所述气体供给孔的一部分切去而形成的槽部。
CN201710096228.9A 2016-03-29 2017-02-22 衬底处理装置及半导体器件的制造方法 Active CN107240563B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-065706 2016-03-29
JP2016065706A JP2017183392A (ja) 2016-03-29 2016-03-29 基板処理装置、半導体装置の製造方法および記録媒体

Publications (2)

Publication Number Publication Date
CN107240563A true CN107240563A (zh) 2017-10-10
CN107240563B CN107240563B (zh) 2021-05-18

Family

ID=59958622

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710096228.9A Active CN107240563B (zh) 2016-03-29 2017-02-22 衬底处理装置及半导体器件的制造方法

Country Status (4)

Country Link
US (1) US11072859B2 (zh)
JP (1) JP2017183392A (zh)
KR (1) KR101997959B1 (zh)
CN (1) CN107240563B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111739779A (zh) * 2019-03-25 2020-10-02 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
CN111837223A (zh) * 2018-03-22 2020-10-27 株式会社国际电气 基板处理装置、半导体装置的制造方法和程序

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11158004B2 (en) * 2018-11-05 2021-10-26 EIG Technology, Inc. Property assessment using a virtual assistant
KR102675856B1 (ko) * 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4979467A (en) * 1988-05-06 1990-12-25 Fujitsu Limited Thin film formation apparatus
KR100337364B1 (ko) * 1998-10-20 2002-11-23 조광섭 할로우방전형플라즈마표시평판장치
JP2004296526A (ja) * 2003-03-25 2004-10-21 National Institute Of Advanced Industrial & Technology プラズマcvd装置
CN101431009A (zh) * 2007-11-08 2009-05-13 东京毅力科创株式会社 喷淋板和基板处理装置
CN102024688A (zh) * 2009-09-11 2011-04-20 株式会社日立国际电气 半导体装置的制造方法以及衬底处理装置
CN103035485A (zh) * 2011-09-30 2013-04-10 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法及衬底处理装置
CN204905210U (zh) * 2015-09-11 2015-12-23 大连民族大学 便于串联多个电极的连接结构

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003224113A (ja) 2002-01-31 2003-08-08 Ibiden Co Ltd プラズマエッチング装置のガス吹き出し板
WO2006077735A1 (ja) 2004-12-28 2006-07-27 Hitachi Kokusai Electric Inc. 基板処理装置
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5616737B2 (ja) * 2009-11-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4979467A (en) * 1988-05-06 1990-12-25 Fujitsu Limited Thin film formation apparatus
KR100337364B1 (ko) * 1998-10-20 2002-11-23 조광섭 할로우방전형플라즈마표시평판장치
JP2004296526A (ja) * 2003-03-25 2004-10-21 National Institute Of Advanced Industrial & Technology プラズマcvd装置
CN101431009A (zh) * 2007-11-08 2009-05-13 东京毅力科创株式会社 喷淋板和基板处理装置
CN102024688A (zh) * 2009-09-11 2011-04-20 株式会社日立国际电气 半导体装置的制造方法以及衬底处理装置
CN103035485A (zh) * 2011-09-30 2013-04-10 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法及衬底处理装置
CN204905210U (zh) * 2015-09-11 2015-12-23 大连民族大学 便于串联多个电极的连接结构

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111837223A (zh) * 2018-03-22 2020-10-27 株式会社国际电气 基板处理装置、半导体装置的制造方法和程序
CN111739779A (zh) * 2019-03-25 2020-10-02 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质

Also Published As

Publication number Publication date
JP2017183392A (ja) 2017-10-05
US11072859B2 (en) 2021-07-27
KR101997959B1 (ko) 2019-07-08
CN107240563B (zh) 2021-05-18
US20170283950A1 (en) 2017-10-05
KR20170113057A (ko) 2017-10-12

Similar Documents

Publication Publication Date Title
US12040179B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN105261552B (zh) 半导体器件的制造方法和衬底处理装置
JP7464638B2 (ja) 基板処理装置、プラズマ生成装置、反応管、プラズマ生成方法、基板処理方法、半導体装置の製造方法およびプログラム
CN106463395B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
KR101189495B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
CN105789028B (zh) 半导体器件的制造方法及衬底处理装置
JP6125946B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
CN107112235A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN104805414A (zh) 半导体器件的制造方法及衬底处理装置
KR20130035874A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6529348B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101793944B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN107204273B (zh) 半导体器件的制造方法、衬底处理装置及衬底处理方法
CN107240563A (zh) 衬底处理装置及半导体器件的制造方法
CN109314053A (zh) 衬底处理装置、半导体器件的制造方法及电极固定单元
US20240055237A1 (en) Substrate processing apparatus, plasma generating apparatus, and method of manufacturing semiconductor device
WO2019181603A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP7454691B2 (ja) 基板処理装置、プラズマ発光装置、半導体装置の製造方法及びプログラム
JP2013187324A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR102559937B1 (ko) 기판 처리 장치, 기판 보지부, 반도체 장치의 제조 방법 및 프로그램
WO2016038744A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20181203

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant