KR20130035874A - 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR20130035874A
KR20130035874A KR1020120101545A KR20120101545A KR20130035874A KR 20130035874 A KR20130035874 A KR 20130035874A KR 1020120101545 A KR1020120101545 A KR 1020120101545A KR 20120101545 A KR20120101545 A KR 20120101545A KR 20130035874 A KR20130035874 A KR 20130035874A
Authority
KR
South Korea
Prior art keywords
gas
supplying
temperature
forming
substrate
Prior art date
Application number
KR1020120101545A
Other languages
English (en)
Other versions
KR101396253B1 (ko
Inventor
카즈히로 유아사
나오노리 아카에
마사토 테라사키
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20130035874A publication Critical patent/KR20130035874A/ko
Application granted granted Critical
Publication of KR101396253B1 publication Critical patent/KR101396253B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

산화막과 질화막의 적층 구조를 가지는 절연막의 막 두께 균일성 등을 향상시킨다.
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 기판 상에 산화막을 형성하는 공정; 처리 용기 내의 제1 온도 이상 제2 온도 이하의 온도로 가열된 기판에 대하여 질화 가스를 공급하여, 산화막의 표면에 시드층을 형성하는 공정; 및 처리 용기 내의 제2 온도로 가열된 기판에 대하여 제2 원료 가스를 공급하는 공정 및 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 산화막의 표면에 형성된 시드층 상에 질화막을 형성하는 공정;을 수행한다.

Description

반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 {METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}
본 발명은 기판 상에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법 및 기판 처리 방법, 그 공정에서 바람직하게 이용되는 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서 산화막과 질화막이 교호적으로 적층되어 이루어지는 ONO 적층 구조의 절연막을 기판 상에 형성하는 공정이 수행될 수 있다. ONO 적층 구조를 구성하는 산화막 및 질화막을 동일한 처리실 내에서 연속적으로 형성하기 위해서, 종래 CVD(Chemical Vapor Deposition)법이 이용되었다. 예컨대 기판이 수용된 처리실 내에 DCS(디클로로실란, SiH2Cl2)가스와 이산화질소(N2O) 가스를 동시에 공급하여 실리콘 산화막(SiO막)을 형성하는 공정 및 DCS가스와 암모니아(NH3) 가스를 동시에 공급하여 실리콘 질화막(SiN막)을 형성하는 공정을 교호적으로 수행하여 소정 막 두께의 ONO 적층 구조의 절연막을 기판 상에 형성할 수 있다.
하지만 기상(氣相) 반응이 주가 되는 CVD법을 이용하여 전술한 절연막을 성막하는 경우, 기판의 표면에 미세 가공이 실시되는 등에 의해 표면적이 커지면, 기판 주변부에서의 가스의 소비가 기판 중심부에 비해 심해지고, 기판 면내(面內)에서의 절연막의 막 두께 균일성이 저하하여 반도체 장치에 요구되는 허용값을 밑도는[下回] 경우가 있다. 또한 기판 표면에 형성된 미세 홈[溝] 등에 대한 절연막의 단차(段差) 피복성이 저하하는 경우가 있다.
이와 같은 과제에 대하여 처리실 내의 압력을 내려서 기판 주변부에서의 기상 반응을 억제하는 수법이나, 기판 배열의 피치, 즉 인접하는 기판 사이의 거리를 크게 하여 기판 중앙부에서의 가스의 결손을 보충하는 수법을 채용하는 것도 생각된다. 하지만 처리실 내의 압력을 내리는 전자의 수법에서는 절연막의 성막 속도가 떨어져 생산성이 저하하는 경우가 있다. 또한 기판 배열의 피치를 크게 하는 후자의 수법에서는 기판 처리 1회당의 처리 매수가 감소하여 생산성이 현저하게 저하하는 경우가 있다.
본 발명의 목적은 산화막과 질화막의 적층 구조를 가지는 절연막의 막 두께 균일성이나 단차 피복성을 향상시켜, 성막 시의 생산성을 향상시킬 수 있는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공하는데 있다.
본 발명의 일 형태에 의하면,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 공정;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 공정; 및
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 공정;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 공정; 및
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 공정;
을 포함하는 기판 처리 방법이 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리 용기;
상기 처리 용기 내의 상기 기판을 가열하는 히터;
상기 처리 용기 내의 상기 기판에 대하여 제1 원료 가스 및 제2 원료 가스를 공급하는 원료 가스 공급계;
상기 처리 용기 내의 상기 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계;
상기 처리 용기 내의 상기 기판에 대하여 환원 가스를 공급하는 환원 가스 공급계;
상기 처리 용기 내의 상기 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계;
상기 처리 용기 내의 압력을 조정하는 압력 조정부; 및
대기압 미만의 압력 하에 있는 상기 처리 용기 내의 제1 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 처리 및 상기 산화 가스 및 상기 환원 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 처리와,
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 상기 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 처리와,
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 상기 제2 원료 가스를 공급하는 처리 및 상기 질화 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 처리를 수행하도록 상기 히터, 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 환원 가스 공급계, 상기 질화 가스 공급계 및 상기 압력 조정부를 제어하는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 순서 및 산화 가스 및 환원 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 순서;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 순서; 및
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 순서 및 상기 질화 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 순서;
를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따르면 산화막과 질화막의 적층 구조를 가지는 절연막의 막 두께 균일성이나 단차 피복성을 향상시키고, 성막 시의 생산성을 향상시키는 것이 가능한 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공할 수 있다.
도 1은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형(縱型) 처리로의 개략 구성도이며, 처리로 부분을 종단면도로 도시하는 도면.
도 2는 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면.
도 3은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도.
도 4는 본 발명의 제1 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 5는 본 발명의 제1 실시 형태에 따른 성막 플로우의 변형예1을 도시하는 도면.
도 6은 본 발명의 제1 실시 형태에 따른 성막 플로우의 변형예2를 도시하는 도면.
도 7은 본 발명의 제1 실시 형태에 따른 성막 플로우의 변형예3을 도시하는 도면.
도 8은 본 발명의 제1 실시 형태에 따른 성막 플로우의 변형예4를 도시하는 도면.
도 9는 본 발명의 제2 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 10은 본 발명의 제3 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 11은 본 발명의 제1 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 12는 본 발명의 제1 실시 형태에 따른 가스 공급의 타이밍의 변형예1을 도시하는 도면.
도 13은 본 발명의 제1 실시 형태에 따른 가스 공급의 타이밍의 변형예2를 도시하는 도면.
도 14는 본 발명의 제1 실시 형태에 따른 가스 공급의 타이밍의 변형예3을 도시하는 도면.
도 15는 본 발명의 제1 실시 형태에 따른 가스 공급의 타이밍의 변형예4를 도시하는 도면.
도 16은 본 발명의 제2 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 17은 본 발명의 제3 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 18은 실시예1에 따른 실리콘 질화막의 성막 지연 시간의 평가 결과를 도시하는 도면.
도 19는 실시예2에 따른 실리콘 질화막의 성막 지연 시간의 평가 결과를 도시하는 도면.
<본 발명의 제1 실시 형태>
(1) 기판 처리 장치의 구성
도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 종단면도로 도시한다. 또한 도 2는 본 실시 형태에서 바람직하게 이용되는 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 도 1의 A-A선 단면도로 도시한다. 또한 본 발명은 본 실시 형태에 따른 기판 처리 장치에 한정되지 않고, 매엽식(枚葉式), Hot Wall형, Cold Wall형의 처리로를 포함하는 기판 처리 장치에도 바람직하게 적용할 수 있다.
도 1에 도시하는 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(도시되지 않음)에 지지되는 것에 의해 수직으로 설치된다. 또한 히터(207)는 후술하는 바와 같이 가스를 열로 활성화시키는 활성화 기구로서도 기능한다.
히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배설(配設)된다. 반응관(203)은 예컨대 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색(閉塞)되고 하단이 개구(開口)된 원통 형상으로 형성된다. 반응관(203)의 통중공부(筒中空部)에는 처리실(201)이 형성되고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세에서 수직 방향에 다단으로 정렬한 상태로 수용 가능하도록 구성된다.
처리실(201) 내에는 제1 가스 도입부로서의 제1 노즐(233a)과, 제2 가스 도입부로서의 제2 노즐(233b)과, 제3 가스 도입부로서의 제3 노즐(233c)이 반응관(203)의 하부 측벽을 관통하도록 설치된다. 제1 노즐(233a)에는 제1 가스 공급관(232a)이 접속된다. 또한 제2 노즐(233b)에는 제2 가스 공급관(232b)이 접속된다. 또한 제3 노즐(233c)에는 제3 가스 공급관(232c), 제4 가스 공급관(232d) 및 제5 가스 공급관(232e)이 접속된다. 이와 같이 반응관(203)에는 3개의 노즐(233a, 233b, 233c)과 5개의 가스 공급관(232a, 232b, 232c, 232d, 232e)이 설치되어, 처리실(201) 내로 복수 종류, 여기서는 5종류의 가스를 공급할 수 있도록 구성된다.
또한 반응관(203)의 하방에 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을 금속제의 매니폴드의 측벽을 관통하도록 설치해도 좋다. 이 경우 금속제의 매니폴드에 후술하는 배기관(231)을 더 설치해도 좋다. 또한 이 경우에서도 배기관(231)을 금속제의 매니폴드가 아닌 반응관(203)의 하부에 설치해도 좋다. 이와 같이 처리로(202)의 노구부(爐口部)를 금속제로 하고 금속제의 노구부에 노즐 등을 설치해도 좋다.
제1 가스 공급관(232a)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241a, MFC) 및 개폐 밸브인 밸브(243a)가 설치된다. 또한 제1 가스 공급관(232a)의 밸브(243a)보다도 하류측에는 제1 불활성 가스 공급관(232f)이 접속된다. 제1 불활성 가스 공급관(232f)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241f) 및 개폐 밸브인 밸브(243f)가 설치된다. 또한 제1 가스 공급관(232a)의 선단부(先端部)에는 전술한 제1 노즐(233a)이 접속된다. 제1 노즐(233a)은, 반응관(203)의 내벽과 웨이퍼(200) 사이의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향해서 상승[立上]하도록, 설치된다. 즉 제1 노즐(233a)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록, 설치된다. 제1 노즐(233a)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단(一端)측으로부터 타단(他端)측을 향해서 상승하도록 설치된다. 제1 노즐(233a)의 측면에는 가스를 공급하는 가스 공급공(248a)이 설치된다. 가스 공급공(248a)은 반응관(203)의 중심을 향하도록 개구하여, 웨이퍼(200)를 향해서 가스를 공급할 수 있다. 가스 공급공(248a)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
주로 제1 가스 공급관(232a), 매스 플로우 컨트롤러(241a), 밸브(243a)에 의해 제1 가스 공급계가 구성된다. 또한 제1 노즐(233a)을 제1 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제1 불활성 가스 공급관(232f), 매스 플로우 컨트롤러(241f), 밸브(243f)에 의해 제1 불활성 가스 공급계가 구성된다. 제1 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제2 가스 공급관(232b)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(24lb, MFC) 및 개폐 밸브인 밸브(243b)가 설치된다. 또한 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에는 제2 불활성 가스 공급관(232g)이 접속된다. 제2 불활성 가스 공급관(232g)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241g) 및 개폐 밸브인 밸브(243g)가 설치된다. 또한 제2 가스 공급관(232b)의 선단부에는 전술한 제2 노즐(233b)이 접속된다. 제2 노즐(233b)은, 반응관(203)의 내벽과 웨이퍼(200) 사이의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향해서 상승하도록, 설치된다. 즉 제2 노즐(233b)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록, 설치된다. 제2 노즐(233b)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해서 상승하도록 설치된다. 제2 노즐(233b)의 측면에는 가스를 공급하는 가스 공급공(248b)이 설치된다. 가스 공급공(248b)은 반응관(203)의 중심을 향하도록 개구하여, 웨이퍼(200)를 향해서 가스를 공급할 수 있다. 가스 공급공(248b)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
주로 제2 가스 공급관(232b), 매스 플로우 컨트롤러(24lb), 밸브(243b)에 의해 제2 가스 공급계가 구성된다. 또한 제2 노즐(233b)을 제2 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제2 불활성 가스 공급관(232g), 매스 플로우 컨트롤러(241g), 밸브(243g)에 의해 제2 불활성 가스 공급계가 구성된다. 제2 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제3 가스 공급관(232c)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241c, MFC) 및 개폐 밸브인 밸브(243c)가 설치된다. 또한 제3 가스 공급관(232c)의 밸브(243c)보다도 하류측에는 제3 불활성 가스 공급관(232h)이 접속된다. 제3 불활성 가스 공급관(232h)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241h) 및 개폐 밸브인 밸브(243h)가 설치된다. 또한 제3 가스 공급관(232c)의 선단부에는 전술한 제3 노즐(233c)이 접속된다. 제3 노즐(233c)은 가스 분산 공간인 버퍼실(237) 내에 설치된다.
버퍼실(237)은, 반응관(203)의 내벽과 웨이퍼(200) 사이의 원호 형상의 공간에 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에 웨이퍼(200)의 적재 방향을 따라, 설치된다. 즉 버퍼실(237)은, 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록, 설치된다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부(端部)에는 가스를 공급하는 가스 공급공(248d)이 설치된다. 가스 공급공(248d)은 반응관(203)의 중심을 향하도록 개구하여, 웨이퍼(200)를 향해서 가스를 공급할 수 있다. 가스 공급공(248d)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
제3 노즐(233c)은, 버퍼실(237)의 가스 공급공(248d)이 설치된 단부와 반대측의 단부에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향해서 상승하도록, 설치된다. 즉 제3 노즐(233c)은, 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록, 설치된다. 제3 노즐(233c)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해서 상승하도록 설치된다. 제3 노즐(233c)의 측면에는 가스를 공급하는 가스 공급공(248c)이 설치된다. 가스 공급공(248c)은 버퍼실(237)의 중심을 향하도록 개구한다. 가스 공급공(248c)은 버퍼실(237)의 가스 공급공(248d)과 마찬가지로 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치된다. 복수의 가스 공급공(248c)의 각각의 개구 면적은 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우에는 상류측(하부)으로부터 하류측(상부)까지 각각 동일한 개구 면적으로 동일한 개구 피치로 하면 좋지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향해서 각각 개구 면적을 크게 하거나 개구 피치를 작게 하면 좋다.
본 실시 형태에서는 제3 노즐(233c)의 가스 공급공(248c)의 각각의 개구 면적이나 개구 피치를 상류측으로부터 하류측에 걸쳐서 전술한 바와 같이 조절하여, 우선 가스 공급공(248c)의 각각으로부터 유속의 차이는 있지만 유량이 거의 같은 양의 가스를 분출시킨다. 그리고 가스 공급공(248c)의 각각으로부터 분출되는 가스를, 일단 버퍼실(237) 내에 도입하고, 버퍼실(237) 내에서 가스의 유속 차이의 균일화를 수행한다. 즉 제3 노즐(233c)의 가스 공급공(248c)의 각각으로부터 버퍼실(237) 내에 분출된 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 버퍼실(237)의 가스 공급공(248d)으로부터 처리실(201) 내에 분출된다. 이에 의해 제3 노즐(233c)의 가스 공급공(248c)의 각각으로부터 버퍼실(237) 내에 분출된 가스는 버퍼실(237)의 가스 공급공(248d)의 각각으로부터 처리실(201) 내에 분출할 때에는 균일한 유량과 유속을 가지는 가스가 된다.
주로 제3 가스 공급관(232c), 매스 플로우 컨트롤러(241c), 밸브(243c)에 의해 제3 가스 공급계가 구성된다. 또한 제3 노즐(233c) 및 버퍼실(237)을 제3 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제3 불활성 가스 공급관(232h), 매스 플로우 컨트롤러(241h), 밸브(243h)에 의해 제3 불활성 가스 공급계가 구성된다. 제3 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제4 가스 공급관(232d)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241d, MFC) 및 개폐 밸브인 밸브(243d)가 설치된다. 또한 제4 가스 공급관(232d)의 밸브(243d)보다도 하류측에는 제4 불활성 가스 공급관(232i)이 접속된다. 제4 불활성 가스 공급관(232i)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241i) 및 개폐 밸브인 밸브(243i)가 설치된다. 또한 제4 가스 공급관(232d)의 선단부는 제3 가스 공급관(232c)의 밸브(243c)보다도 하류측에 접속된다.
주로 제4 가스 공급관(232d), 매스 플로우 컨트롤러(241d), 밸브(243d)에 의해 제4 가스 공급계가 구성된다. 또한 제3 가스 공급관(232c)의 제4 가스 공급관(232d)과의 접속부보다도 하류측, 제3 노즐(233c) 및 버퍼실(237)을 제4 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제4 불활성 가스 공급관(232i), 매스 플로우 컨트롤러(241i), 밸브(243i)에 의해 제4 불활성 가스 공급계가 구성된다. 제4 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제5 가스 공급관(232e)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241e, MFC) 및 개폐 밸브인 밸브(243e)가 설치된다. 또한 제5 가스 공급관(232e)의 밸브(243e)보다도 하류측에는 제5 불활성 가스 공급관(232j)이 접속된다. 제5 불활성 가스 공급관(232j)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241j) 및 개폐 밸브인 밸브(243j)가 설치된다. 또한 제5 가스 공급관(232e)의 선단부는 제3 가스 공급관(232c)의 밸브(243c)보다도 하류측에 접속된다.
주로 제5 가스 공급관(232e), 매스 플로우 컨트롤러(241e), 밸브(243e)에 의해 제5 가스 공급계가 구성된다. 또한 제3 가스 공급관(232c)의 제5 가스 공급관(232e)과의 접속부보다도 하류측, 제3 노즐(233c) 및 버퍼실(237)을 제5 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제5 불활성 가스 공급관(232j), 매스 플로우 컨트롤러(241j), 밸브(243j)에 의해 제5 불활성 가스 공급계가 구성된다. 제5 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
이와 같이 본 실시 형태에서의 가스를 공급하는 방법은, 반응관(203)의 내벽과 적재된 복수 매의 웨이퍼(200)의 단부에 의해 정의되는 원호 형상의 세로로 긴 공간 내에 배치한 노즐(233a, 233b, 233c) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(233a, 233b, 233c) 및 버퍼실(237)에 각각 개구된 가스 공급공(248a, 248b, 248c, 248d)으로부터 웨이퍼(200)의 근방에서 처음으로 반응관(203) 내에 가스를 분출시켜서, 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 한다. 이와 같은 구성으로 하여, 각 웨이퍼(200)에 대하여 균일하게 가스를 공급할 수 있고, 각 웨이퍼(200) 상에 형성되는 박막의 막 두께를 균일하게 할 수 있는 효과가 있다. 또한 웨이퍼(200)의 표면 상을 흐른 가스, 즉 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향해서 흐르지만, 잔류 가스의 흐름의 방향은 배기구의 위치에 의해 적절히 특정되며 수직 방향으로 한정한 것은 아니다.
제1 가스 공급관(232a)으로부터는 소정 원소를 포함하는 제1 원료 가스 즉 소정 원소로서의 실리콘(Si)을 포함하는 제1 원료 가스(제1 실리콘 함유 가스)로서 예컨대 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스가 매스 플로우 컨트롤러(241a), 밸브(243a), 제1 노즐(233a)을 개재하여 처리실(201) 내에 공급된다. 즉 제1 가스 공급계는 제1 원료 가스 공급계(제1 실리콘 함유 가스 공급계)로서 구성된다. 또한 HCDS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 제1 원료 가스로서 공급한다. 이 때 동시에 제1 불활성 가스 공급관(232f)으로부터 불활성 가스가 매스 플로우 컨트롤러(241f), 밸브(243f)를 개재하여 제1 가스 공급관(232a) 내에 공급되어도 좋다.
제2 가스 공급관(232b)으로부터는 소정 원소를 포함하는 제2 원료 가스 즉 소정 원소로서의 실리콘(Si)을 포함하는 제2 원료 가스(제2 실리콘 함유 가스)로서 예컨대 디클로로실란(SiH2Cl2, 약칭: DCS) 가스가 매스 플로우 컨트롤러(24lb), 밸브(243b), 제2 노즐(233b)을 개재하여 처리실(201) 내에 공급된다. 즉 제2 가스 공급계는 제2 원료 가스 공급계(제2 실리콘 함유 가스 공급계)로서 구성된다. 또한 DCS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 제2 원료 가스로서 공급한다. 이 때 동시에 제2 불활성 가스 공급관(232g)으로부터 불활성 가스가 매스 플로우 컨트롤러(241g), 밸브(243g)를 개재하여 제2 가스 공급관(232b) 내에 공급되어도 좋다.
주로 제1 가스 공급계(제1 원료 가스 공급계) 및 제2 가스 공급계(제2 원료 가스 공급계)에 의해 처리실(201) 내에 제1 원료 가스 및 제2 원료 가스를 공급하는 원료 가스 공급계가 구성된다.
제3 가스 공급관(232c)으로부터는 질소를 포함하는 가스(질소 함유 가스) 즉 질화 가스로서 예컨대 암모니아(NH3) 가스가 매스 플로우 컨트롤러(241c), 밸브(243c), 제3 노즐(233c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 즉 제3 가스 공급계는 질소 함유 가스 공급계(질화 가스 공급계)로서 구성된다. 이 때 동시에 제3 불활성 가스 공급관(232h)으로부터 불활성 가스가 매스 플로우 컨트롤러(241h), 밸브(243h)를 개재하여 제3 가스 공급관(232c) 내에 공급되어도 좋다.
제4 가스 공급관(232d)으로부터는 산소를 포함하는 가스(산소 함유 가스) 즉 산화 가스로서 예컨대 산소(O2) 가스가 매스 플로우 컨트롤러(241d), 밸브(243d), 제3 가스 공급관(232c), 제3 노즐(233c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 즉 제4 가스 공급계는 산소 함유 가스 공급계(산화 가스 공급계)로서 구성된다. 이 때 동시에 제4 불활성 가스 공급관(232i)으로부터 불활성 가스가 매스 플로우 컨트롤러(241i), 밸브(243i)를 개재하여 제4 가스 공급관(232d) 내에 공급되어도 좋다.
제5 가스 공급관(232e)으로부터는 수소를 포함하는 가스(수소 함유 가스), 즉 환원 가스로서 예컨대 수소(H2) 가스가 매스 플로우 컨트롤러(241e), 밸브(243e), 제3 가스 공급관(232c), 제3 노즐(233c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 즉 제5 가스 공급계는 수소 함유 가스 공급계(환원 가스 공급계)로서 구성된다. 이 때 동시에 제5 불활성 가스 공급관(232j)으로부터 불활성 가스가 매스 플로우 컨트롤러(241j), 밸브(243j)를 개재하여 제5 가스 공급관(232e) 내에 공급되어도 좋다.
또한 본 실시 형태에서는 HCDS가스와 DCS가스를 별도의 노즐로부터 처리실(201) 내에 공급하지만 이들을 같은 노즐로부터 공급해도 좋다. 또한 본 실시 형태에서는 NH3가스와 O2가스와 H2가스를 같은 노즐로부터 처리실(201) 내[버퍼실(237) 내]에 공급하지만, 각각을 별도의 노즐로부터 처리실(201) 내에 공급해도 좋고, H2가스만을 다른 노즐로부터 처리실(201) 내에 공급해도 좋다. 단 복수 종류의 가스에 대해 노즐을 공용으로 하는 것이 노즐의 개수를 저감할 수 있고, 장치 비용을 저감할 수 있고, 메인터넌스도 용이해지는 등의 메리트가 있다. 또한 HCDS가스나 DCS가스를 공급하는 노즐과, H2가스를 공급하는 노즐을 공용으로 해도 좋다. 즉 HCDS가스와 H2가스를 같은 노즐로부터 공급해도 좋고, DCS가스와 H2가스를 같은 노즐로부터 공급해도 좋고, HCDS가스와 DCS가스와 H2가스를 같은 노즐로부터 공급해도 좋다. 또한 후술하는 성막 온도대에서는 HCDS가스나 DCS가스는 H2가스와는 반응하지 않지만 NH3가스나 O2가스와는 각각 반응하는 것이 염려되기 때문에, HCDS가스나 DCS가스를 공급하는 노즐과, NH3가스나 O2가스를 공급하는 노즐은 별도로 하는 것이 좋다.
버퍼실(237) 내에는 도 2에 도시하는 바와 같이, 가늘고 긴 구조를 가지는 제1 전극인 제1 봉 형상 전극(269) 및 제2 전극인 제2 봉 형상 전극(270)이 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배설된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각은 제3 노즐(233c)과 평행으로 설치된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각은 상부로부터 하부에 걸쳐 각 전극을 보호하는 보호관인 전극 보호관(275)에 의해 피복되어서 보호된다. 제1 봉 형상 전극(269) 또는 제2 봉 형상 전극(270) 중 어느 일방(一方)은 정합기(272)를 개재하여 고주파 전원(273)에 접속되고, 타방(他方)은 기준 전위인 어스에 접속된다. 정합기(272)를 개재하여 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하여, 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로 제1 봉 형상 전극(269), 제2 봉 형상 전극(270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원(源)이 구성된다. 또한 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜서 생각해도 좋다. 또한 플라즈마원은 후술하는 바와 같이, 가스를 플라즈마로 활성화시키는 활성화 기구로서 기능한다.
전극 보호관(275)은 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각을 버퍼실(237)의 분위기와 격리한 상태로 버퍼실(237) 내에 삽입할 수 있는 구조를 가진다. 여기서 전극 보호관(275)의 내부의 산소 농도가 외기(外氣)[대기(大氣)]의 산소 농도와 같은 정도이면, 전극 보호관(275) 내에 각각 삽입된 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)은 히터(207)에 의한 열로 산화된다. 그렇기 때문에 전극 보호관(275)의 내부를 질소 가스 등의 불활성 가스로 충전해 두거나 전극 보호관(275)의 내부를 불활성 가스 퍼지 기구를 이용하여 질소 가스 등의 불활성 가스로 퍼지하여서, 전극 보호관(275)의 내부의 산소 농도를 저감시키고, 제1 봉 형상 전극(269) 또는 제2 봉 형상 전극(270)의 산화를 방지할 수 있도록 구성된다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치된다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller)밸브(244)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속된다. 또한 APC밸브(244)는 진공 펌프(246)를 작동시킨 상태로 밸브를 개폐하여, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 수행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태로 밸브 개도(開度)를 조절하여, 처리실(201) 내의 압력을 조정할 수 있도록 구성된 밸브다. 주로 배기관(231), APC밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한 진공 펌프(246)를 배기계에 포함시켜서 생각해도 좋다. 배기계는 진공 펌프(246)를 작동시키면서 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 APC밸브(244)의 밸브의 개도를 조절하여, 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있도록 구성된다.
반응관(203)의 하방에는 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 개체(蓋體)로서의 씰 캡(219)이 설치된다. 씰 캡(219)은 반응관(203)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(219)은 예컨대 스텐레스 등의 금속으로부터 이루어지고, 원반 형상으로 형성된다. 씰 캡(219)의 상면에는 반응관(203)의 하단과 당접하는 씰 부재로서의 O링(220)이 설치된다. 씰 캡(219)의 처리실(201)과 반대측에는 후술하는 기판 보지구(具)로서의 보트(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(255)은 씰 캡(219)을 관통하여 보트(217)에 접속된다. 회전 기구(267)는 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다. 씰 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성된다. 보트 엘리베이터(115)는 씰 캡(219)을 승강시키는 것에 의해 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성된다. 즉 보트 엘리베이터(115)는 보트(217) 즉 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는 예컨대 석영이나 탄화규소 등의 내열성 재료로부터 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세로 또한 서로 중심을 맞춘 상태로 정렬시켜서 다단으로 지지하도록 구성된다. 또한 보트(217)의 하부에는 예컨대 석영이나 탄화규소 등의 내열성 재료로부터 이루어지는 단열 부재(218)가 설치되어, 히터(207)로부터의 열이 씰 캡(219)측에 전달되기 어렵도록 구성된다. 또한 단열 부재(218)는 석영이나 탄화규소 등의 내열성 재료로 이루어지는 복수 매의 단열판과, 상기 단열판들을 수평 자세에서 다단으로 지지하는 단열판 홀더에 의해 구성해도 좋다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정하여, 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성된다. 온도 센서(263)는 제1 노즐(233a), 제2 노즐(233b) 및 제3 노즐(233c)과 마찬가지로 L자형으로 구성되고, 반응관(203)의 내벽을 따라 설치된다.
도 3에 도시하는 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(121a, Central Processing Unit), RAM(12lb, Random Access Memory), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성된다. RAM(12lb), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 개재하여 CPU(121a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(121)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(122)가 접속된다.
기억 장치(121c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(121)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며 프로그램으로서 기능한다. 이하, 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(12lb)은 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(121d)는 전술한 매스 플로우 컨트롤러(241a, 24lb, 241c, 241d, 241e, 241f, 241g, 241h, 241i, 241j), 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h, 243i, 243j), 압력 센서(245), APC밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115), 고주파 전원(273), 정합기(272) 등에 접속된다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행하는 것과 함께 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성된다. 그리고 CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록 매스 플로우 컨트롤러(241a, 24lb, 241c, 241d, 241e, 241f, 241g, 241h, 241i, 241j)에 의한 각종 가스의 유량 조정 동작, 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h, 243i, 243j)의 개폐 동작, APC밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC밸브(244)에 의한 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강(昇降) 동작, 고주파 전원(273)의 전력 공급, 정합기(272)에 의한 임피던스 조정 동작 등을 제어하도록 구성된다.
또한 컨트롤러(121)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(123)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光)디스크, MO 등의 광자기 디스크, USB메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이와 같은 외부 기억 장치(123)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(123)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다.
(2) 기판 처리 공정
다음으로 전술한 기판 처리 장치의 처리로를 이용하여 반도체 장치(디바이스)의 제조 공정의 일 공정으로서 기판 상에 제1 산화막, 질화막, 제2 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막을 형성하는 예에 대하여 도 4, 도 11을 이용하여 설명한다. 도 4는 본 실시 형태에 따른 성막 플로우를 도시하는 도면이다. 도 11은 본 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각(各) 부(部)의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 제1 원료 가스를 공급하는 공정 및 산화 가스(산소 함유 가스) 및 환원 가스(수소 함유 가스)를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 기판 상에 제1 산화막을 형성하는 공정;
처리 용기 내의 제2 온도로 가열된 기판에 대하여 제2 원료 가스를 공급하는 공정 및 질화 가스(질소 함유 가스)를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 산화막 상에 질화막을 형성하는 공정; 및
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스(산소 함유 가스) 및 환원 가스(수소 함유 가스)를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 질화막 상에 제2 산화막을 형성하는 공정;을 실시한다.
즉 본 실시 형태에서는,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 교호적으로 반복하여 기판 상에 제1 산화막을 형성하는 공정;
대기압 미만의 압력 하에 있는 처리 용기 내의 제2 온도로 가열된 기판에 대하여 제2 원료 가스를 공급하는 공정 및 질소 함유 가스를 공급하는 공정을 교호적으로 반복하여 제1 산화막 상에 질화막을 형성하는 공정; 및
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 교호적으로 반복하여 질화막 상에 제2 산화막을 형성하는 공정;을 실시한다.
여기서 제1 산화막을 형성하는 공정, 질화막을 형성하는 공정 및 제2 산화막을 형성하는 공정은 처리 용기 내에서 연속적으로 수행된다.
또한 본 실시 형태에 따른 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정에서는 각각 제1 원료 가스를 공급하는 공정 및 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하고 이 사이클을 복수 회 반복한다. 여기서 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정에서는 산소 함유 가스 및 수소 함유 가스를 열에 의해 활성화하여 공급한다.
또한 본 실시 형태에 따른 질화막을 형성하는 공정에서는 제2 원료 가스를 공급하는 공정 및 질소 함유 가스를 공급하는 공정을 1사이클로 하고 이 사이클을 복수 회 반복한다. 여기서 질화막을 형성하는 공정에서는 질소 함유 가스를 열에 의해 또는 플라즈마에 의해 활성화하여 공급한다.
이하, 본 실시 형태의 성막 시퀀스를 구체적으로 설명한다. 여기서는 제1 원료 가스로서 HCDS가스를, 산소 함유 가스로서 O2가스를, 수소 함유 가스로서 H2가스를, 퍼지 가스로서 N2가스를 이용하고, 기판으로서의 웨이퍼(200) 상에 제1 산화막으로서 실리콘 산화막(SiO2막, 이하, 제1 실리콘 산화막, 또는 제1 SiO막이라고도 부른다)을 형성한다. 그 후 제2 원료 가스로서 HCDS가스보다도 열분해 온도가 높고, 반응성이 낮은 DCS가스를, 질소 함유 가스로서 NH3가스를, 퍼지 가스로서 N2가스를 이용하여 하지(下地)막으로서의 제1 실리콘 산화막 상에 질화막으로서 실리콘 질화막(Si3N4막, 이하, SiN막이라고도 부른다)을 형성한다. 그 후 제1 원료 가스로서 HCDS가스를, 산소 함유 가스로서 O2가스를, 수소 함유 가스로서 H2가스를, 퍼지 가스로서 N2가스를 이용하여 하지막으로서의 실리콘 질화막 상에 제2 산화막으로서 실리콘 산화막(SiO2막, 이하, 제2 실리콘 산화막 또는 제2 SiO막이라고도 부른다)을 형성한다. 이에 의해 웨이퍼(200) 상에 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막을 형성한다. 또한 후술하는 바와 같이, 제1 실리콘 산화막 형성 공정, 실리콘 질화막 형성 공정, 제2 실리콘 산화막 형성 공정은 동일한 처리 용기 내에서[인시튜(in-situ)로] 연속적으로 수행된다.
또한 본 명세서에서 「웨이퍼」라는 단어를 이용한 경우는, 「웨이퍼 그 자체」를 의미하는 경우나 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함해서 웨이퍼라고 칭하는 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는, 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최(最)표면」을 의미하는 경우가 있다.
따라서 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한 본 명세서에서 「기판」이라는 단어를 이용한 경우도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우 상기 설명에서 「웨이퍼」를 「기판」으로 치환(置換)하여 생각하면 좋다.
(웨이퍼 차지 및 보트 로드)
복수 매의 웨이퍼(200)가 보트(217)에 장전(裝塡, 웨이퍼 차지)되면 도 1에 도시하는 바와 같이, 복수 매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내에 반입(보트 로드)된다. 이 상태로 씰 캡(219)은 O링(220)을 개재하여 반응관(203)의 하단을 밀봉한 상태가 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이 때 처리실(201) 내의 압력은 압력 센서(245)에 의해 측정되고, 이 측정된 압력 정보에 기초하여 APC밸브(244)가 피드백 제어된다(압력 조정). 또한 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지의 사이에는 상시 작동시킨 상태를 유지한다. 또한 처리실(201) 내가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이 때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 또한 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지의 사이에는 계속해서 수행된다. 계속해서 회전 기구(267)에 의해 보트(217) 및 웨이퍼(200)의 회전을 시작한다. 또한 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지의 사이에는 계속해서 수행된다.
(제1 실리콘 산화막 형성 공정)
그 후 이하의 스텝1a~4a를 1사이클로 하고 이 사이클을 복수 회 반복하여 웨이퍼(200) 상에 소정 막 두께의 제1 실리콘 산화막을 성막한다.
[스텝1a]
제1 가스 공급관(232a)의 밸브(243a)를 열어 제1 가스 공급관(232a)에 HCDS가스를 흘린다. HCDS가스는 제1 가스 공급관(232a)으로부터 흘러 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. 유량 조정된 HCDS가스는 제1 노즐(233a)의 가스 공급공(248a)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다(HCDS가스 공급).
이 때 제1 불활성 가스 공급관(232f)의 밸브(243f)를 열어 제1 불활성 가스 공급관(232f)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241f)에 의해 유량 조정되어 제1 가스 공급관(232a) 내에 공급된다. 유량 조정된 N2가스는 제1 가스 공급관(232a) 내에서 유량 조정된 HCDS가스와 혼합되고, 제1 노즐(233a)의 가스 공급공(248a)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한 이 때 버퍼실(237) 내나, 제2 노즐(233b), 제3 노즐(233c) 내로의 HCDS가스의 침입을 방지하기 위하여 밸브(243g, 243h, 243i, 243j)를 열어 제2 불활성 가스 공급관(232g), 제3 불활성 가스 공급관(232h), 제4 불활성 가스 공급관(232i), 제5 불활성 가스 공급관(232j) 내에 N2가스를 흘린다. N2가스는 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제5 가스 공급관(232e), 제2 노즐(233b), 제3 노즐(233c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때 APC밸브(244)를 적절하게 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 10~1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241a)에 의해 제어하는 HCDS가스의 공급 유량은 예컨대 10~1,000sccm(0.01~1slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241f, 241g, 241h, 241i, 241j)에 의해 제어하는 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. HCDS가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1~120초의 범위 내의 시간으로 한다. 히터(207)의 온도는 전술한 압력대에서 처리실(201) 내에서 CVD 반응이 발생할 수 있는 온도가 되도록 설정한다. 즉 웨이퍼(200)의 온도가 예컨대 350~800℃, 바람직하게는 450~800℃, 더욱 바람직하게는 550~750℃의 범위 내의 온도가 되도록 히터(207)의 온도를 설정한다. 또한 웨이퍼(200)의 온도가 350℃ 미만이 되면 웨이퍼(200) 상에서 HCDS가 분해하거나 흡착하는 것이 어려워진다. 또한 웨이퍼(200)의 온도를 450℃ 이상으로 하여, 후술하는 스텝3a에서의 산화력 향상의 효과가 현저해진다. 또한 웨이퍼(200)의 온도를 550℃ 이상으로 하여, HCDS의 분해를 충분히 수행하는 것이 가능해진다. 또한 웨이퍼(200)의 온도가 750℃, 특히 800℃를 넘으면 CVD 반응이 강해져서 막 두께 균일성의 악화가 현저해진다. 따라서 웨이퍼(200)의 온도는 350~800℃로 하는 것이 바람직하고, 450~800℃로 하는 것이 더욱 바람직하고, 550~750℃로 하는 것이 더욱 바람직하다.
전술한 조건, 즉 CVD 반응이 발생하는 조건 하에서 HCDS가스를 처리실(201) 내에 공급하여, 웨이퍼(200, 표면의 하지막) 상에 예컨대 1원자층 미만으로부터 수(數)원자층 정도의 두께의 실리콘 함유층이 형성된다. 실리콘 함유층은 HCDS가스의 흡착층이어도 좋고, 실리콘층(Si층)이어도 좋고, 그 양방을 포함해도 좋다. 단 실리콘 함유층은 실리콘(Si) 및 염소(Cl)를 포함하는 층인 것이 바람직하다.
여기서 실리콘층이란 실리콘(Si)에 의해 구성되는 연속적인 층 외에 불연속적인 층이나, 이들이 중첩하여 이루어지는 실리콘 박막도 포함한 총칭이다. 또한 Si에 의해 구성되는 연속적인 층을 실리콘 박막이라고 부르는 경우도 있다. 또한 실리콘층을 구성하는 Si는 Cl과의 결합이 완전히 끊어지지 않은 것도 포함한다.
또한 HCDS가스의 흡착층은 HCDS가스의 가스 분자가 연속적인 화학 흡착층 외에 불연속적인 화학 흡착층도 포함한다. 즉 HCDS가스의 흡착층은 HCDS분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 화학 흡착층을 포함한다. 또한 HCDS가스의 흡착층을 구성하는 HCDS(Si2Cl6)분자는 Si와 Cl의 결합이 일부 끊긴 것(SixCly분자)도 포함한다. 즉 HCDS의 흡착층은 Si2Cl6분자 및/또는 SixCly분자의 연속적인 화학 흡착층이나 불연속적인 화학 흡착층을 포함한다. 또한 1원자층 미만의 두께의 층이란 불연속적으로 형성되는 원자층을 의미하고, 1원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 또한 1분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고, 1분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다.
HCDS가스가 자기분해(自己分解, 열분해)하는 조건 하, 즉 HCDS의 열분해 반응이 발생하는 조건 하에서는 웨이퍼(200) 상에 Si가 퇴적하여, 실리콘층이 형성된다. HCDS가스가 자기분해(열분해)하지 않는 조건 하, 즉 HCDS의 열분해 반응이 발생하지 않는 조건 하에서는 웨이퍼(200) 상에 HCDS가스가 흡착하여, HCDS가스의 흡착층이 형성된다. 또한 웨이퍼(200) 상에 HCDS가스의 흡착층을 형성하는 것보다도 웨이퍼(200) 상에 실리콘층을 형성하는 것이 성막 레이트를 높게 할 수 있어서 바람직하다. 예컨대 웨이퍼(200) 상에 수원자층의 두께의 실리콘층을 형성하여 후술하는 스텝3a에서의 산화력을 높이는 것에 의해 사이클 레이트를 올릴 수 있고, 성막 레이트를 높게 하는 것이 가능해진다.
웨이퍼(200) 상에 형성되는 실리콘 함유층의 두께가 수원자층을 넘으면, 후술하는 스텝3a에서의 산화(개질)의 작용이 실리콘 함유층의 전체에 전달되지 않는다. 또한 웨이퍼(200) 상에 형성 가능한 실리콘 함유층의 두께의 최소값은 1원자층 미만이다. 따라서 실리콘 함유층의 두께는 1원자층 미만으로부터 수원자층 정도로 하는 것이 바람직하다. 또한 실리콘 함유층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 하여, 후술하는 스텝3a에서의 산화 반응(개질 반응)의 작용을 상대적으로 높일 수 있고, 스텝3a의 산화 반응(개질 반응)에 요하는 시간을 단축할 수 있다. 스텝1a의 실리콘 함유층 형성에 요하는 시간도 단축할 수 있다. 결과적으로 1사이클당의 처리 시간을 단축할 수 있고, 토탈에서의 처리 시간을 단축하는 것도 가능해진다. 즉 성막 레이트를 높게 하는 것도 가능해진다. 또한 실리콘 함유층의 두께를 1원자층 이하로 하여, 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
제1 원료 가스(제1 실리콘 함유 가스)로서는 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스 외에 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 모노실란(SiH4) 가스 등의 무기 원료뿐만 아니라, 아미노실란계의 테트라키스디메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: 2DEAS) 가스, 비스터셔리부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스 등의 유기 원료를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe 가스 등의 희(希) 가스를 이용해도 좋다.
[스텝2a]
웨이퍼(200) 상에 실리콘 함유층이 형성된 후, 제1 가스 공급관(232a)의 밸브(243a)를 닫아, HCDS가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제한다. 또한 밸브(243f, 243g, 243h, 243i, 243j)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다(잔류 가스 제거).
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면 그 후에 수행되는 스텝3a에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대(大)유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하여, 스텝3a에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이 때의 히터(207)의 온도는 웨이퍼(200)의 온도가 HCDS가스의 공급 시와 마찬가지로, 예컨대 350~800℃, 바람직하게는 450~800℃, 더 바람직하게는 550~750℃의 범위 내의 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
[스텝3a]
처리실(201) 내의 잔류 가스를 제거한 후, 제4 가스 공급관(232d)의 밸브(243d)를 열어 제4 가스 공급관(232d)에 O2가스를 흘린다. O2가스는 제4 가스 공급관(232d)으로부터 흘러 매스 플로우 컨트롤러(241d)에 의해 유량 조정된다. 유량 조정된 O2가스는 제3 가스 공급관(232c)을 경유하여 제3 노즐(233c)의 가스 공급공(248c)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급된다. 이 때 동시에 제5 가스 공급관(232e)의 밸브(243e)를 열어 제5 가스 공급관(232e)에 H2가스를 흘린다. H2가스는 제5 가스 공급관(232e)으로부터 흘러 매스 플로우 컨트롤러(241e)에 의해 유량 조정된다. 유량 조정된 H2가스는 제3 가스 공급관(232c)을 경유하여 제3 노즐(233c)의 가스 공급공(248c)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급된다. 또한 H2가스는 제3 가스 공급관(232c)을 경유할 때에 제3 가스 공급관(232c) 내에서 O2가스와 혼합된다. 즉 제3 노즐(233c)로부터는 O2가스와 H2가스의 혼합 가스가 공급된다. 버퍼실(237) 내에 공급된 O2가스와 H2가스의 혼합 가스는 버퍼실(237)의 가스 공급공(248d)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되어 배기관(231)으로부터 배기된다(O2가스+H2가스 공급).
이 때 제4 불활성 가스 공급관(232i)의 밸브(243i)를 열어 제4 불활성 가스 공급관(232i)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241i)에 의해 유량 조정되어 제4 가스 공급관(232d) 내에 공급된다. 또한 제5 불활성 가스 공급관(232j)의 밸브(243j)를 열어 제5 불활성 가스 공급관(232j)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241j)에 의해 유량 조정되어 제5 가스 공급관(232e) 내에 공급된다. 이 경우 제3 노즐(233c)로부터는 O2가스와 H2가스와 N2가스의 혼합 가스가 공급된다. 또한 불활성 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다. 또한 이 때 제1 노즐(233a) 내나, 제2 노즐(233b) 내나, 제3 가스 공급관(232c)의 상류측으로의 O2가스와 H2가스의 침입을 방지하기 위하여 밸브(243f, 243g, 243h)를 열어 제1 불활성 가스 공급관(232f), 제2 불활성 가스 공급관(232g), 제3 불활성 가스 공급관(22h) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제1 노즐(233a), 제2 노즐(233b), 제3 노즐(233c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때 APC밸브(244)를 적절하게 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 1~1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241d)에 의해 제어하는 O2가스의 공급 유량은 예컨대 1,000~10,000sccm(1~10slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241e)에 의해 제어하는 H2가스의 공급 유량은 예컨대 1,000~10,000sccm(1~10slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241i, 241j, 241f, 241g, 241h)에 의해 제어하는 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. 또한 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1~120초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가 스텝1a의 HCDS가스의 공급 시와 마찬가지의 온도대이며, 후술하는 산화력 향상의 효과가 현저해지는 온도대, 즉 예컨대 450~800℃, 바람직하게는 550~750℃의 범위 내의 온도가 되도록 설정한다. 또한 이 범위 내의 온도라면 감압 분위기 하에서의 O2가스로의 H2가스 첨가에 의한 산화력 향상의 효과(후술)가 현저해지는 것을 확인하였다. 또한 웨이퍼(200)의 온도가 지나치게 낮으면 산화력 향상의 효과를 얻을 수 없다는 것도 확인하였다. 스루풋을 고려하면 이와 같이 스텝1a~3a에서 처리실(201) 내의 온도를 마찬가지의 온도대에 보지하도록 히터(207)의 온도를 설정하는 것이 바람직하다. 또한 스텝1a~스텝4a(후술)에 걸쳐서 처리실(201) 내의 온도를 마찬가지의 온도대에 보지하도록 히터(207)의 온도를 설정하는 것이 더 바람직하다. 이 경우 스텝1a~스텝4a(후술)에 걸쳐서 처리실(201) 내의 온도가 예컨대 450~800℃, 바람직하게는 550~750℃의 범위 내의 일정한 온도가 되도록 히터(207)의 온도를 설정한다.
전술한 조건에서 O2가스 및 H2가스를 처리실(201) 내에 공급하여, O2가스 및 H2가스는 가열된 감압 분위기 하에서 논 플라즈마로 열적으로 활성화되어 반응하고, 그것에 의해 원자상 산소(atomic oxygen, O) 등의 산소를 포함하는 수분(H2O) 비함유의 산화종이 생성된다. 그리고 주로 이 산화종에 의해 스텝1a에서 웨이퍼(200) 상에 형성된 실리콘 함유층에 대하여 산화 처리가 수행된다. 그리고 이 산화 처리에 의해 실리콘 함유층은 실리콘 산화층(SiO2층, 이하, 단순히 SiO층이라고도 부른다)으로 변화된다(개질된다). 이와 같이 이 산화 처리에 의하면 O2가스를 단독으로 공급하는 경우에 비해 산화력을 대폭 향상시킬 수 있다. 즉 감압 분위기 하에서 O2가스에 H2가스를 첨가하여, O2가스 단독 공급의 경우에 비해 대폭적인 산화력 향상 효과를 얻을 수 있다.
또한 이 때 O2가스와 H2가스 중 적어도 어느 일방 또는 양방을 플라즈마로 활성화시켜서 흘릴 수도 있다. O2가스 및/또는 H2가스를 플라즈마로 활성화시켜서 흘리는 것에 의해 보다 에너지가 높은 활성종을 포함하는 산화종을 생성할 수 있고, 이 산화종에 의해 산화 처리를 수행하여, 디바이스 특성이 향상하는 등의 효과도 생각된다. 예컨대 O2가스와 H2가스의 양방을 플라즈마로 활성화시키는 경우, 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 개재하여 고주파 전력을 인가하여, 버퍼실(237) 내에 공급된 O2가스와 H2가스의 혼합 가스는 플라즈마로 활성화되어[플라즈마 여기(勵起)되어], 활성종을 포함하는 가스, 즉 O2 *(산소의 활성종)이나 H2 *(수소의 활성종)을 포함하는 가스(산화종)로서 가스 공급공(248d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 인가하는 고주파 전력은 예컨대 50~1,000W의 범위 내의 전력이 되도록 설정한다. 그 외의 처리 조건은 전술한 처리 조건과 마찬가지로 한다. 또한 전술한 온도대에서는 O2가스와 H2가스는 열로 활성화되어 충분히 반응하여 충분한 양의 원자상 산소(O) 등의 산화종이 생성된다. 따라서 O2가스와 H2가스를 논 플라즈마로 열적으로 활성화시켜도 충분한 산화력을 얻을 수 있다. 또한 O2가스와 H2가스는 열로 활성화시켜서 공급하는 것이 플라즈마 데미지를 주지 않고도 소프트한 반응을 발생시킬 수 있고, 전술한 산화 처리를 소프트하게 수행할 수 있다.
산소 함유 가스, 즉 산화성 가스로서는 산소(O2) 가스 외에 오존(O3) 가스 등을 이용해도 좋다. 또한 전술한 온도대에서 일산화질소(NO) 가스나 아산화질소(N2O) 가스로의 수소 함유 가스 첨가 효과를 시험해 본 결과, NO 가스 단독 공급이나 N2O 가스 단독 공급에 비해 산화력 향상의 효과를 얻을 수 없다는 것을 확인하였다. 즉 산소 함유 가스로서는 질소 비함유의 산소 함유 가스(질소를 포함하지 않고 산소를 포함하는 가스)를 이용하는 것이 바람직하다. 수소 함유 가스, 즉 환원성 가스로서는 수소(H2) 가스 외에 중수소(D2) 가스 등을 이용해도 좋다. 또한 암모니아(NH3) 가스나 메탄(CH4) 가스 등을 이용하면, 질소(N) 불순물이나 탄소(C) 불순물의 막 중으로의 혼입이 염려된다. 즉 수소 함유 가스로서는 타 원소 비함유의 수소 함유 가스(타 원소를 포함하지 않고 수소 또는 중수소를 포함하는 가스)를 이용하는 것이 바람직하다. 즉 산소 함유 가스로서는 O2가스 및 O3가스에 의해 이루어지는 군(群)으로부터 선택되는 적어도 하나의 가스를 이용할 수 있고, 수소 함유 가스로서는 H2가스 및 D2가스에 의해 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 이용할 수 있다.
[스텝4a]
실리콘 함유층을 실리콘 산화층으로 변화시킨 후, 제4 가스 공급관(232d)의 밸브(243d)를 닫아 O2가스의 공급을 정지한다. 또한 제5 가스 공급관(232e)의 밸브(243e)를 닫아 H2가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 산화층 형성에 기여한 후의 O2가스나 H2가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243i, 243j, 243f, 243g, 243h)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 산화층 형성에 기여한 후의 O2가스나 H2가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더 높일 수 있다(잔류 가스 제거).
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1a에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하여, 스텝1a에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이 때의 히터(207)의 온도는 웨이퍼(200)의 온도가 O2가스 및 H2가스의 공급 시와 마찬가지로, 예컨대 450~800℃, 바람직하게는 550~750℃의 범위 내의 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
전술한 스텝1a~4a를 1사이클로 하고 이 사이클을 복수 회 반복하여 웨이퍼(200) 상에 소정 막 두께의 제1 실리콘 산화막을 성막할 수 있다. 제1 실리콘 산화막은 후술하는 공정에서 형성하는 실리콘 질화막의 하지막이 된다.
(실리콘 질화막 형성 공정)
계속해서 이하의 스텝1b~4b를 1사이클로 하고 이 사이클을 복수 회 반복하여 하지막으로서의 제1 실리콘 산화막 상에 소정 막 두께의 실리콘 질화막을 성막한다. 또한 여기서는 제2 원료 가스로서 제1 실리콘 산화막의 형성에서 이용한 HCDS가스가 아닌, HCDS가스보다도 열분해 온도가 높고 반응성이 낮은 DCS가스를 이용한다. 또한 실리콘 질화막의 형성은 웨이퍼(200)의 온도와, 전술한 제1 실리콘 산화막 형성 공정에서의 웨이퍼(200)의 온도의 차이가 150℃ 이내, 바람직하게는 100℃ 이내가 되도록 보지하여 수행한다.
[스텝1b]
제2 가스 공급관(232b)의 밸브(243b)를 열어 제2 가스 공급관(232b)에 DCS가스를 흘린다. DCS가스는 제2 가스 공급관(232b)으로부터 흘러 매스 플로우 컨트롤러(24lb)에 의해 유량 조정된다. 유량 조정된 DCS가스는 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다(DCS가스 공급).
이 때 제2 불활성 가스 공급관(232g)의 밸브(243g)를 열고, 제2 불활성 가스 공급관(232g)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241g)에 의해 유량 조정되어 제2 가스 공급관(232b) 내에 공급된다. 유량 조정된 N2가스는 제2 가스 공급관(232b) 내에서 유량 조정된 DCS가스와 혼합되어 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한 이 때 버퍼실(237) 내나, 제1 노즐(233a), 제3 노즐(233c) 내로의 DCS가스의 침입을 방지하기 위하여 밸브(243f, 243h, 243i, 243j)를 열어 제1 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232h), 제4 불활성 가스 공급관(232i), 제5 불활성 가스 공급관(232j) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제5 가스 공급관(232e), 제1 노즐(233a), 제3 노즐(233c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때 APC밸브(244)를 적절하게 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 10~1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(24lb)에 의해 제어하는 DCS가스의 공급 유량은 예컨대 10~1,000sccm(0.01~1slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241g, 241f, 241h, 241i, 241j)에 의해 제어하는 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. DCS가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1~120초의 범위 내의 시간으로 한다. 히터(207)의 온도는 전술한 압력대에서 처리실(201) 내에서 CVD 반응이 발생할 수 있는 온도가 되도록 설정한다. 즉 웨이퍼(200)의 온도가 예컨대 550~800℃, 바람직하게는 600~800℃, 더 바람직하게는 650~750℃의 범위 내의 온도가 되도록 히터(207)의 온도를 설정한다. 또한 웨이퍼(200)의 온도가 550℃ 미만이 되면 웨이퍼(200) 상에서 DCS가 분해하거나 흡착하는 것이 어려워진다. 또한 웨이퍼(200)의 온도가 600℃ 미만이 되면, DCS의 분해 및 흡착이 충분히 수행되지 않고, 실용적인 성막 레이트를 얻는 것이 어려워지는 경우가 있다. 또한 웨이퍼(200)의 온도가 650℃ 이상이 되면, DCS의 분해 및 흡착이 충분히 수행되고, 실용적으로 충분한 성막 레이트를 얻을 수 있다. 또한 웨이퍼(200)의 온도가 750℃, 특히 800℃를 넘으면 CVD 반응이 강해져서 막 두께 균일성의 악화가 현저해진다. 따라서 웨이퍼(200)의 온도는 550~800℃로 하는 것이 바람직하고, 600~800℃로 하는 것이 더 바람직하고, 650~750℃로 하는 것이 더 바람직하다. 또한 웨이퍼(200)의 온도는 제1 실리콘 산화막 형성 공정에서의 웨이퍼(200)의 온도와 마찬가지의 온도로도 할 수 있지만 다른 온도로도 할 수 있다. 예컨대 본 실시 형태와 같이, 제1 실리콘 산화막 형성 공정에서 HCDS가스를 이용하고, 실리콘 질화막 형성 공정에서 HCDS가스보다도 반응성이 낮은 가스인 DCS가스를 이용하는 경우, 실리콘 질화막 형성 공정에서의 웨이퍼(200)의 온도(제2 온도)를 제1 실리콘 산화막 형성 공정에서의 웨이퍼(200)의 온도(제1 온도)보다도 높게 하는 것이 바람직한 경우도 있다. 이 경우 스루풋의 저하를 억제하기 위하여 제1 온도와 제2 온도의 차이가 150℃ 이내, 바람직하게는 100℃ 이내가 되도록 한다. 예컨대 제1 온도를 550~600℃로 하고, 제2 온도를 650~700℃로 하는 것도 가능하다.
전술한 조건, 즉 CVD 반응이 발생하는 조건 하에서 DCS가스를 처리실(201) 내에 공급하여, 제1 실리콘 산화막(하지막) 상에 예컨대 1원자층 미만으로부터 수원자층 정도의 두께의 실리콘 함유층이 형성된다. 실리콘 함유층은 DCS가스의 흡착층이어도 좋고, 실리콘층(Si층)이어도 좋고, 그 양방을 포함해도 좋다. 단 실리콘 함유층은 실리콘(Si) 및 염소(Cl)를 포함하는 층인 것이 바람직하다.
여기서 실리콘층이란 실리콘(Si)에 의해 구성되는 연속적인 층 외에 불연속적인 층이나, 이들이 중첩하여 이루어지는 실리콘 박막도 포함한 총칭이다. 또한 Si에 의해 구성되는 연속적인 층을 실리콘 박막이라고 부르는 경우도 있다. 또한 실리콘층을 구성하는 Si는 Cl이나 H와의 결합이 완전히 끊어지지 않은 것도 포함한다.
또한 DCS가스의 흡착층은 DCS가스의 가스 분자가 연속적인 화학 흡착층 외에 불연속적인 화학 흡착층도 포함한다. 즉 DCS가스의 흡착층은 DCS분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 흡착층을 포함한다. 또한 DCS가스의 화학 흡착층을 구성하는 DCS(SiH2Cl2)분자는 Si와 Cl의 결합이나 Si와 H의 결합이 일부 끊긴 것(SiHxCly분자)도 포함한다. 즉 DCS의 화학 흡착층은 SiH2Cl2분자 및/또는 SiHxCly분자가 연속적인 화학 흡착층이나 불연속적인 화학 흡착층을 포함한다. 또한 1원자층 미만의 두께의 층이란 불연속적으로 형성되는 원자층을 의미하고, 1원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 또한 1분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고, 1분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다.
DCS가스가 자기분해(열분해)하는 조건 하, 즉 DCS의 열분해 반응이 발생하는 조건 하에서는 제1 실리콘 산화막 상에 Si가 퇴적하여, 실리콘층이 형성된다. DCS가스가 자기분해(열분해)하지 않는 조건 하, 즉 DCS의 열분해 반응이 발생하지 않는 조건 하에서는 제1 실리콘 산화막 상에 DCS가스가 흡착하여, DCS가스의 흡착층이 형성된다. 또한 제1 실리콘 산화막 상에 DCS가스의 흡착층을 형성하는 것보다도 제1 실리콘 산화막 상에 실리콘층을 형성하는 것이 성막 레이트를 높게 할 수 있어서 바람직하다.
제1 실리콘 산화막 상에 형성되는 실리콘 함유층의 두께가 수원자층을 넘으면, 후술하는 스텝3b에서의 질화(개질)의 작용이 실리콘 함유층의 전체에 전달되지 않는다. 또한 제1 실리콘 산화막 상에 형성 가능한 실리콘 함유층의 두께의 최소값은 1원자층 미만이다. 따라서 실리콘 함유층의 두께는 1원자층 미만으로부터 수원자층 정도로 하는 것이 바람직하다. 또한 실리콘 함유층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 하여, 후술하는 스텝3b에서의 질화 반응(개질 반응)의 작용을 상대적으로 높일 수 있어 스텝3b의 질화 반응(개질 반응)에 요하는 시간을 단축할 수 있다. 즉 스텝3b에서의 실리콘 함유층의 질화를 효율적으로 수행하는 것이 가능해진다. 또한 스텝1a의 실리콘 함유층 형성에 요하는 시간도 단축할 수 있다. 결과적으로 1사이클당의 처리 시간을 단축할 수 있고, 토탈에서의 처리 시간을 단축하는 것도 가능해진다. 즉 성막 레이트를 높게 하는 것도 가능해진다. 또한 실리콘 함유층의 두께를 1원자층 이하로 하여, 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
제2 원료 가스(제2 실리콘 함유 가스)로서는 디클로로실란(SiH2Cl2, 약칭: DCS) 가스 외에 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스, 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 모노실란(SiH4) 가스 등의 무기 원료뿐만 아니라, 아미노실란계의 테트라키스디메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: 2DEAS) 가스, 비스터셔리부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스 등의 유기 원료를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe 가스 등의 희가스를 이용해도 좋다.
[스텝2b]
제1 실리콘 산화막 상에 실리콘 함유층이 형성된 후, 제2 가스 공급관(232b)의 밸브(243b)를 닫아 DCS가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 DCS가스를 처리실(201) 내로부터 배제한다. 또한 밸브(243g, 243f, 243h, 243i, 243j)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 DCS가스를 처리실(201) 내로부터 배제하는 효과를 더 높일 수 있다(잔류 가스 제거).
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝3b에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하여, 스텝3b에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이 때의 히터(207)의 온도는 웨이퍼(200)의 온도가 DCS가스의 공급 시와 마찬가지로, 예컨대 550~800℃, 바람직하게는 600~800℃, 더 바람직하게는 650~750℃의 범위 내의 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
[스텝3b]
처리실(201) 내의 잔류 가스를 제거한 후, 제3 가스 공급관(232c)의 밸브(243c)를 열어 제3 가스 공급관(232c)에 NH3가스를 흘린다. NH3가스는 제3 가스 공급관(232c)으로부터 흘러 매스 플로우 컨트롤러(241c)에 의해 유량 조정된다. 유량 조정된 NH3가스는 제3 가스 공급관(232c)을 경유하여 제3 노즐(233c)의 가스 공급공(248c)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급된다. 이 때 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하면 버퍼실(237) 내에 공급된 NH3가스는 플라즈마로 활성화된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하지 않으면 버퍼실(237) 내에 공급된 NH3가스는 열로 활성화된다. 본 실시 형태에서는 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하지 않는 것에 의해 버퍼실(237) 내에 공급된 NH3가스를 열로 활성화하도록 한다. 이에 의해 버퍼실(237) 내에 공급된 NH3가스는 열로 활성화되어 버퍼실(237)의 가스 공급공(248c)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다(NH3가스 공급). 또한 NH3가스는 플라즈마로 활성화시켜서 공급할 수도 있지만, 열로 활성화시켜서 공급하는 것이 소프트한 반응을 일으킬 수 있고, 후술하는 질화를 소프트하게 수행할 수 있다.
이 때 제3 불활성 가스 공급관(232h)의 밸브(243h)를 열어 제3 불활성 가스 공급관(232h)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241h)에 의해 유량 조정되어 제3 가스 공급관(232c) 내에 공급된다. 유량 조정된 N2가스는 제3 가스 공급관(232c) 내에서 유량 조정된 NH3가스와 혼합되어 제3 노즐(233c)의 가스 공급공(248c)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급되고, 버퍼실(237)의 가스 공급공(248d)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한 이 때 제1 노즐(233a), 제2 노즐(233b), 제4 가스 공급관(232d), 제5 가스 공급관(232e) 내로의 NH3가스의 침입을 방지하기 위하여 밸브(243f, 243g, 243i, 243j)를 열어 제1 불활성 가스 공급관(232f), 제2 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232i), 제5 불활성 가스 공급관(232j) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제4 가스 공급관(232d), 제5 가스 공급관(232e), 제1 노즐(233a), 제2 노즐(233b), 제3 노즐(233c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때 APC밸브(244)를 적절하게 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 1~3,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241c)에 의해 제어하는 NH3가스의 공급 유량은 예컨대 100~10,000sccm(0.1~10slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241h, 241f, 241g, 241i, 241j)에 의해 제어하는 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. NH3가스를 웨이퍼(200)에 노출하는 시간은 예컨대 1~120초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가 스텝1b의 DCS가스의 공급 시와 마찬가지의 온도대, 즉 예컨대 550~800℃, 바람직하게는 600~800℃, 더 바람직하게는 650~750℃의 범위 내의 온도가 되도록 설정한다. 또한 이 범위 내의 온도라면 감압 분위기 하에서의 NH3가스에 의한 질화의 효과(후술), 즉 실리콘 함유층의 질화 반응이 얻어지는 것을 확인하였다. 또한 웨이퍼(200)의 온도가 지나치게 낮으면 질화의 효과를 얻을 수 없는 것도 확인하였다. 스루풋을 고려하면, 이와 같이 스텝1b~3b에서 처리실(201) 내의 온도를 마찬가지의 온도대에 보지하도록 히터(207)의 온도를 설정하는 것이 바람직하다. 또한 스텝1b~스텝4b(후술)에 걸쳐서 처리실(201) 내의 온도를 마찬가지의 온도대에 보지하도록 히터(207)의 온도를 설정하는 것이 더 바람직하다는 것은 전술한 바와 같다.
전술한 조건에서 NH3가스를 처리실(201) 내에 공급하여, NH3가스는 가열된 감압 분위기 하에서 논 플라즈마로 열적으로 활성화되거나 또는 열분해하여 질소를 포함하는 질화종이 생성된다. 이 때 처리실(201) 내에는 DCS가스는 흘리지 않기 때문에 NH3가스는 기상 반응을 일으키지 않고, NH3가스가 열적으로 활성화되거나 또는 열분해하여, 얻어진 질화종은 스텝1b에서 제1 실리콘 산화막 상에 형성된 실리콘 함유층의 적어도 일부와 반응한다. 이에 의해 실리콘 함유층에 대하여 질화 처리가 수행되고, 이 질화 처리에 의해 실리콘 함유층은 실리콘 질화층(Si3N4층, 이하, 단순히 SiN층이라고도 부른다)으로 변화된다(개질된다).
또한 이 때 전술한 바와 같이 NH3가스를 플라즈마로 활성화시켜서 흘릴 수도 있다. NH3가스를 플라즈마로 활성화시켜서 흘리는 것에 의해, 보다 에너지가 높은 활성종을 포함하는 질화종을 생성할 수 있고, 이 질화종에 의해 질화 처리를 수행하여, 디바이스 특성이 향상하는 등의 효과도 생각된다. NH3가스를 플라즈마로 활성화시키는 경우, 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 개재하여 고주파 전력을 인가하여, 버퍼실(237) 내에 공급된 NH3가스는 플라즈마로 활성화되어(플라즈마 여기되어), NH3 *(암모니아의 활성종)을 포함하는 가스(질화종)로서 가스 공급공(248d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 인가하는 고주파 전력은 예컨대 50~1,000W의 범위 내의 전력이 되도록 설정한다. 그 외의 처리 조건은 전술한 처리 조건과 마찬가지로 한다. 또한 전술한 온도대에서는 NH3가스는 열로 충분히 활성화되어 충분한 양의 질화종이 생성된다. 따라서 NH3가스를 논 플라즈마로 열적으로 활성화시켜도 충분한 질화력이 얻어진다. 또한 NH3가스는 열로 활성화시켜서 공급하는 것이 플라즈마 데미지를 주지 않고도 소프트한 반응을 일으킬 수 있고, 전술한 질화 처리를 소프트하게 수행할 수 있다.
질소 함유 가스로서는 NH3가스 외에 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8가스나, 아민계의 가스 등을 이용해도 좋다.
[스텝4b]
실리콘 함유층을 실리콘 질화층으로 변화시킨 후, 제3 가스 공급관(232c)의 밸브(243c)를 닫아 NH3가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 질화층 형성에 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243h, 243f, 243g, 243i, 243j)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 질화층 형성에 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더 높일 수 있다(잔류 가스 제거).
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1b에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하여, 스텝1b에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이 때의 히터(207)의 온도는 웨이퍼(200)의 온도가 NH3가스의 공급 시와 마찬가지로, 예컨대 550~800℃, 바람직하게는 600~800℃, 더 바람직하게는 650~750℃의 범위 내의 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100~2,000sccm(0.1~2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
전술한 스텝1b~4b를 1사이클로 하고 이 사이클을 복수 회 반복하여 하지막으로서의 제1 실리콘 산화막 상에 소정 막 두께의 실리콘 질화막을 성막할 수 있다. 실리콘 질화막은 후술하는 공정에서 형성하는 제2 실리콘 산화막의 하지막이 된다.
(제2 실리콘 산화막 형성 공정)
계속해서 이하의 스텝1c~4c를 1사이클로 하고 이 사이클을 복수 회 반복하여 하지막으로서의 실리콘 질화막 상에 소정 막 두께의 제2 실리콘 산화막을 성막한다.
스텝1c~4c는 전술한 제1 실리콘 산화막 형성 공정의 스텝1a~4a와 마찬가지의 순서 및 마찬가지의 조건으로 수행한다. 즉 제2 실리콘 산화막을 형성할 때에는 원료 가스로서 제1 원료 가스, 즉 제1 실리콘 산화막 형성 공정에서 이용한 HCDS가스를 이용한다. 또한 제2 실리콘 산화막의 형성은 웨이퍼(200)의 온도가 전술한 제1 실리콘 산화막 형성 공정에서의 웨이퍼(200)의 온도와 마찬가지의 온도대가 되도록 보지하여 수행한다.
그리고 이 스텝1c~4c를 1사이클로 하고 이 사이클을 복수 회 반복하여 실리콘 질화막 상에 소정 막 두께의 제2 실리콘 산화막을 성막할 수 있다. 그 결과, 웨이퍼(200) 상에는 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막이 형성된다.
(퍼지 및 대기압 복귀)
ONO 적층 구조의 절연막이 성막되면, 밸브(243f, 243g, 243h, 243i, 243j)를 열어 제1 불활성 가스 공급관(232f), 제2 불활성 가스 공급관(232g), 제3 불활성 가스 공급관(232h), 제4 불활성 가스 공급관(232i), 제5 불활성 가스 공급관(232j)의 각각으로부터 불활성 가스로서의 N2가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내가 불활성 가스로 퍼지되어 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후 처리실(201) 내의 분위기가 불활성 가스에 치환되어 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어 반응관(203)의 하단이 개구되는 것과 함께 처리 완료된 웨이퍼(200)가 보트(217)에 보지된 상태로 반응관(203)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 그 후 처리 완료된 웨이퍼(200)는 보트(217)에 의해 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 도시하는 1개 또는 복수의 효과를 갖는다.
본 실시 형태의 성막 시퀀스에 의해 제1 실리콘 산화막 및 제2 실리콘 산화막을 형성하면, 웨이퍼(200) 면내에서의 막 두께 균일성 및 단차 피복성은 각각, 일반적인 CVD법에 의해 실리콘 산화막을 형성하는 경우보다도 양호한 것을 확인하였다. 그리고 이에 의해 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께 균일성 및 단차 피복성이 양호한 것을 확인하였다. 이는 본 실시 형태의 제1 실리콘 산화막 및 제2 실리콘 산화막은 HCDS가스를 공급하는 공정 및 O2가스 및 H2가스를 공급하는 공정을 1사이클로 하고 이 사이클을 복수 회 반복하여 형성되기 때문이다(스텝1a~4a, 스텝1c~4c). 또한 일반적인 CVD법이란 무기 원료인 DCS와 N2O를 동시에 공급하여 CVD법에 의해 실리콘 산화막[HTO(High Temperature Oxide)막]을 형성하는 방법을 가리킨다.
또한 본 실시 형태의 성막 시퀀스에 의해 형성한 제1 실리콘 산화막 및 제2 실리콘 산화막은 일반적인 CVD법에 의해 형성한 실리콘 산화막(CVD-SiO막)보다도 막 중의 질소나 염소 등의 불순물 농도가 지극히 낮고, Si/O 비율이 화학량론 조성인 0.5에 지극히 가까운 양질의 막이 되는 것을 확인하였다. 이는 본 실시 형태의 실리콘 산화막의 성막 시퀀스에서는 가열된 감압 분위기 하에서 O2가스와 H2가스를 반응시켜서 원자상 산소(O)를 포함하는 산화종을 생성하고, 이 산화종을 이용하여 실리콘 함유층을 실리콘 산화층으로 변화시키기 때문이다(스텝3a, 3c). 이 원자상 산소(O)를 포함하는 산화종을 실리콘 함유층에 공급하여, 주로 원자상 산소가 지니는 에너지에 의해 실리콘 함유층 중에 포함되는 Si-N, Si-Cl, Si-H, Si-C 결합을 떼어낼 수 있다. Si-O 결합을 형성하기 위한 에너지는 Si-N, Si-Cl, Si-H, Si-C의 결합 에너지보다도 높기 때문에, Si-O 결합 형성에 필요한 에너지를 산화종으로부터 실리콘 함유층에 부여하여, 실리콘 함유층 중의 Si-N, Si-Cl, Si-H, Si-C 결합을 떼어낼 수 있다. Si와의 결합을 떼어내진 N, H, Cl, C는 막 중으로부터 제거되어 N2, H2, Cl2, HCl, CO2 등으로서 배출된다. N, H, Cl, C와의 결합이 떼어내지는 것에 의해 남은 Si의 결합수(手)는 산화종에 포함되는 O와 결부된다. 이와 같이 하여 제1 실리콘 산화막 및 제2 실리콘 산화막을 지극히 양질의 실리콘 산화막으로 할 수 있다. 그리고 이에 의해 지극히 양질의 ONO 적층 구조의 절연막을 얻을 수 있다.
또한 본 실시 형태에 따른 제1 실리콘 산화막 및 제2 실리콘 산화막은 무기계 실리콘 원료를 이용하여 형성한 경우에 한정되지 않고, 유기계 실리콘 원료를 이용하여 형성한 경우에서도 웨이퍼(200) 면내에서의 막 두께 균일성, 단차 피복성, 막 중의 불순물 농도가 양호한 것을 확인하였다. 그리고 이에 의해 유기계의 원료를 이용한 경우에서도 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께 균일성, 단차 피복성, 막 중의 불순물 농도가 양호한 것을 확인하였다.
또한 본 실시 형태의 성막 시퀀스에 의해 실리콘 질화막을 형성하면, 웨이퍼(200) 면내에서의 막 두께 균일성 및 단차 피복성은 일반적인 CVD법에 의해 실리콘 질화막을 형성하는 경우보다도 양호한 것을 확인하였다. 그리고 이에 의해 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께 균일성 및 단차 피복성이 양호한 것을 확인하였다. 이는 본 실시 형태의 실리콘 질화막은 DCS가스를 공급하는 공정 및 NH3가스를 공급하는 공정을 1사이클로 하고 이 사이클을 복수 회 반복하여 형성되기 때문이다(스텝1b~4b). 또한 일반적인 CVD법이란 무기 원료인 DCS와 NH3을 동시에 공급하여 CVD법에 의해 실리콘 질화막을 형성하는 방법을 가리킨다.
또한 본 실시 형태의 성막 시퀀스에 의해 형성한 실리콘 질화막은 일반적인 CVD법에 의해 형성한 실리콘 질화막(CVD-SiN막)보다도 막 중 수소 농도가 적고, 지극히 양질의 막이 되는 것을 확인하였다. 이는 본 실시 형태의 실리콘 질화막의 성막 시퀀스에서는 가열된 감압 분위기 하에서 NH3가스를 활성화 또는 열분해하여 얻은 질화종을 이용하여 실리콘 함유층을 실리콘 질화층으로 변화시키기 때문이다(스텝3b). 질화종이 지니는 에너지가 Si-H 결합뿐만 아니라 Si-H 결합보다도 높은 결합 에너지를 지니는 N-H결합도 괴리시켜서, 실리콘 질화층 중으로부터 H(수소)를 제거할 수 있다. 제거된 H는 H2 등으로서 배출된다. 수소와의 결합이 떼어내진 Si나 N은 각각 N, Si와 결부되어 새로운 Si-N 결합을 형성한다. 이와 같이 하여 실리콘 질화막을 지극히 양질의 막으로 할 수 있다. 그리고 이에 의해 지극히 양질의 ONO 적층 구조의 절연막을 얻을 수 있다.
또한 본 실시 형태에 따른 실리콘 질화막은 무기계 실리콘 원료를 이용하여 형성한 경우에 한정되지 않고, 유기계 실리콘 원료를 이용하여 형성한 경우에서도 웨이퍼(200) 면내에서의 막 두께 균일성, 단차 피복성, 막 중의 불순물 농도가 양호한 것을 확인하였다. 그리고 이에 의해 유기계의 원료를 이용한 경우에서도 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께 균일성, 단차 피복성, 막 중의 불순물 농도가 양호한 것을 확인하였다.
또한 본 실시 형태의 성막 시퀀스에 의하면, 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막을 성막할 때의 생산성, 즉 ONO 적층 구조의 절연막을 형성할 때의 생산성을 대폭 향상시킬 수 있다는 것을 확인하였다.
이는 본 실시 형태의 성막 시퀀스에서는 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막의 막 두께 균일성이나 단차 피복성을 개선시키기 위하여 처리실(201) 내의 압력을 내려서 웨이퍼(200) 주변의 기상 반응을 억제할 필요가 없으며, 또한 웨이퍼(200)의 배열의 피치[보트(217)에 보지되어 인접하는 웨이퍼(200) 사이의 거리]를 크게 할 필요가 없기 때문이다.
또한 본 실시 형태의 성막 시퀀스에서는 제1 실리콘 산화막 및 제2 실리콘 산화막의 형성 시에는 O2가스 및 H2가스를 열로 활성화하여 얻어진 산화종을 이용하고, 실리콘 질화막의 형성 시에는 NH3가스를 활성화 또는 열분해하여 얻은 질화종을 이용하여 성막을 수행하는 것으로부터 각각의 성막 속도를 높일 수 있다. 이에 의해 ONO 적층 구조의 절연막을 형성할 때의 생산성을 한층 더 향상시킬 수 있다.
또한 본 실시 형태의 성막 시퀀스에서는 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막을 동일한 처리 용기 내에서(인시튜로), 또한 실리콘 산화막을 형성할 때의 웨이퍼(200)의 온도와 실리콘 질화막을 형성할 때의 웨이퍼(200)의 온도의 차이를 150℃ 이내, 바람직하게는 100℃ 이내로 하여 연속적으로 성막하기 때문에, ONO 적층 구조의 절연막을 형성할 때의 생산성을 한층 더 향상시킬 수 있다. 또한 일반적인 CVD법에 의해 ONO 적층 구조의 절연막을 형성하는 경우에는 실리콘 산화막을 형성할 때의 웨이퍼 온도와 실리콘 질화막을 형성할 때의 웨이퍼 온도의 차이를 150℃ 이내로 하는 것이 어려웠다. 즉 본 실시 형태의 성막 시퀀스에서는 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이 및 실리콘 질화막 형성 공정과 제2 실리콘 산화막 형성 공정 사이에서 각각 대폭적인 온도 조정, 즉 기판 온도의 대폭적인 승강을 수행할 필요가 없어지는 것으로부터 생산성을 크게 향상시킬 수 있다. 또한 다른 장치 사이의 웨이퍼(200)의 반송이나, 반송 후의 압력 조정 등을 수행할 필요가 없어지는 것으로부터도 생산성을 크게 향상시킬 수 있다.
(4) 변형예
본 실시 형태에 따른 성막 시퀀스는 예컨대 이하와 같이 변경시켜도 좋다. 이들의 변형예에서도 전술한 성막 시퀀스와 마찬가지의 효과를 가질 수 있다. 또한 이하에 나타내는 변형예는 임의로 조합시켜서 이용할 수 있다.
(변형예1)
도 4, 도 11에 도시한 전술한 성막 시퀀스에서는 제1 산화막이나 제2 산화막의 형성에 이용하는 제1 원료 가스와, 질화막의 형성에 이용하는 제2 원료 가스를 다른 원료 가스로 하지만, 본 실시 형태는 이와 같은 형태에 한정되지 않는다. 예컨대 제1 원료 가스와 제2 원료 가스를 같은 물질로 구성되는 원료 가스로 해도 좋다.
도 5는 본 변형예에 따른 성막 플로우를 도시하는 도면이다. 도 12는 본 변형예에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 제1 원료 가스 및 제2 원료 가스로서 함께 HCDS가스를 이용하는 예를 도시한다. 또한 본 변형예와 전술한 성막 시퀀스의 차이점은 질화막의 형성 공정에서 제2 원료 가스로서 HCDS가스를 이용하는 점뿐이며, 그 외는 도 4, 도 11에 도시한 전술한 성막 시퀀스와 마찬가지이다. 이하, 본 변형예의 질화막(실리콘 질화막)의 형성 공정에 대하여 설명한다.
본 변형예에 따른 실리콘 질화막의 성막 시퀀스에서는 후술하는 스텝1b~4b를 1사이클로 하고 이 사이클을 복수 회 반복하여 제1 실리콘 산화막 상에 소정 막 두께의 실리콘 질화막을 형성한다.
[스텝1b]
전술한 제1 실리콘 산화막 형성 공정의 스텝1a와 마찬가지의 순서 및 마찬가지의 조건에 의해 가열된 감압 상태의 처리실(201) 내에 HCDS가스(또는 HCDS가스와 N2가스의 혼합 가스)를 공급하고 배기한다(HCDS가스 공급). 이 스텝1b에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 전술한 제1 실리콘 산화막 형성 공정에서의 스텝1a에서의 그것들과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 HCDS가스의 공급에 의해 제1 실리콘 산화막 상에 실리콘 함유층을 형성한다.
[스텝2b]
제1 실리콘 산화막 상에 실리콘 함유층이 형성된 후, 제1 실리콘 산화막 형성 공정의 스텝2a와 마찬가지의 순서 및 마찬가지의 조건에 의해 HCDS가스를 처리실(201) 내로부터 배제하는 것과 함께 처리실(201) 내를 N2가스에 의해 퍼지한다(잔류 가스 제거).
[스텝3b]
처리실(201) 내의 잔류 가스를 제거한 후, 실리콘 질화막 형성 공정의 스텝3b와 마찬가지의 순서 및 마찬가지의 조건에 의해 가열된 감압 상태의 처리실(201) 내에NH3가스(또는 NH3가스와 N2가스의 혼합 가스)를 공급하고 배기한다(NH3가스 공급). 스텝3b에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 전술한 실리콘 질화막 형성 공정에서의 스텝3b에서의 그것들과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 NH3가스의 공급에 의해 스텝1b에서 형성한 실리콘 함유층을 실리콘 질화층으로 변화(개질)시킨다.
[스텝4b]
실리콘 함유층을 실리콘 질화층으로 변화시킨 후, 실리콘 질화막 형성 공정의 스텝4b와 마찬가지의 순서 및 마찬가지의 조건에 의해 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 것과 함께 처리실(201) 내를 N2가스에 의해 퍼지한다(잔류 가스 제거).
전술한 스텝1b~4b를 1사이클로 하고 이 사이클을 복수 회 반복하여 하지막으로서의 제1 실리콘 산화막 상에 소정 막 두께의 실리콘 질화막을 성막할 수 있다.
이와 같이 제1 원료 가스 및 제2 원료 가스로서 함께 HCDS가스를 이용해도 도 4, 도 11에 도시한 전술한 성막 시퀀스와 마찬가지의 효과를 가질 수 있다. 또한 이와는 반대로 제1 원료 가스 및 제2 원료 가스로서 함께 DCS가스를 이용하도록 해도 마찬가지의 효과를 가질 수 있다. 또한 HCDS가스는 DCS가스보다도 열분해 온도가 낮고, 반응성이 높은 가스이기 때문에, 제1 원료 가스 또는 제2 원료 가스로서 HCDS가스를 이용한 경우에는 성막 속도를 비교적 크게 할 수 있어 생산성을 향상시킬 수 있다.
(변형예2)
도 4, 도 11에 도시한 전술한 성막 시퀀스에서는 제1 원료 가스를 공급하는 공정 및 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하고 이 사이클을 복수 회 반복하여 제1 산화막 및 제2 산화막을 형성하지만, 본 실시 형태는 이와 같은 형태에 한정되지 않는다.
예컨대 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서는 제1 원료 가스를 공급하는 공정 및 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 다시 산소 함유 가스 및 수소 함유 가스를 공급하는 공정(개질 공정)을 수행해도 좋다. 이 성막 시퀀스에서도 도 4, 도 11에 도시한 전술한 성막 시퀀스와 마찬가지로 플라즈마를 이용하지 않고 열에 의해 산소 함유 가스 및 수소 함유 가스를 활성화시켜서 공급한다. 또한 이와 같은 성막 시퀀스는 제1 산화막을 형성하는 공정만으로 수행해도 좋고, 제2 산화막을 형성하는 공정만으로 수행해도 좋고, 또한 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방으로 수행해도 좋다.
도 6은 본 변형예에 따른 성막 플로우를 도시하는 도면이다. 도 13은 본 변형예에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 본 변형예에 따른 산화막의 성막 시퀀스를 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방으로 수행하는 예를 도시한다. 또한 본 변형예와 전술한 성막 시퀀스의 차이점은 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서 제1 원료 가스를 공급하는 공정 및 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하고 이 사이클을 복수 회 반복한 후에 개질 공정으로서 다시 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 수행하는 점뿐이며, 그 외는 도 4, 도 11에 도시한 전술한 성막 시퀀스와 마찬가지이다. 이하, 제1 산화막(제1 실리콘 산화막)을 형성하는 공정 및 제2 산화막(제2 실리콘 산화막)을 형성하는 공정에 대하여 설명한다.
본 변형예에 따른 제1 실리콘 산화막의 성막 시퀀스에서는 도 4, 도 11에 도시한 전술한 성막 시퀀스의 스텝1a~4a와 마찬가지의 스텝1a~4a를 1사이클로 하고 이 사이클을 복수 회 반복하여 웨이퍼(200) 상에 소정 막 두께의 실리콘 산화막을 형성한다. 그 후 다시 본 변형예의 스텝3a, 4a와 마찬가지의 스텝을 실시하여, 전술한 실리콘 산화막으로부터 막 중 불순물을 제거하여 막질을 개선하는 개질 공정을 수행한다. 이 일련의 처리들에 의해 제1 실리콘 산화막이 형성된다.
또한 마찬가지로 본 변형예에 따른 제2 실리콘 산화막의 성막 시퀀스에서는 도 4, 도 11에 도시한 전술한 성막 시퀀스의 스텝1c~4c와 마찬가지의 스텝1c~4c를 1사이클로 하고 이 사이클을 복수 회 반복하여 실리콘 질화막 상에 소정 막 두께의 실리콘 산화막을 형성한다. 그 후 다시 본 변형예의 스텝3c, 4c와 마찬가지의 스텝을 실시하여, 전술한 실리콘 산화막으로부터 막 중 불순물을 제거하여 막질을 개선하는 개질 공정을 수행한다. 이 일련의 처리들에 의해 제2 실리콘 산화막이 형성된다.
또한 개질 공정의 처리 조건은 스텝3a, 4a, 3c, 4c와 대략 마찬가지이다. 단 웨이퍼(200)의 온도는 예컨대 350~1,200℃의 범위 내의 온도가 되도록 스텝3a, 4a, 3c, 4c보다도 높게 설정해도 좋다. 또한 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간은 예컨대 60~600초의 범위 내의 시간이 되도록 길게 설정해도 좋다. 도 13은 개질 공정에서 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간을 스텝3a, 3c에서 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간보다도 길게 하는 모습을 도시한다.
본 변형예의 성막 시퀀스에 의해 형성한 제1 실리콘 산화막 및 제2 실리콘 산화막은 일반적인 CVD법에 의해 형성한 실리콘 산화막(CVD-SiO막)보다도 막 중의 질소나 수소나 염소 등의 불순물 농도가 지극히 낮고, Si/O 비율이 화학량론 조성인 0.5에 지극히 가까운 양질의 막이 되는 것을 확인하였다. 이는 본 변형예의 실리콘 산화막의 성막 시퀀스에서는 가열된 감압 분위기 하에서 O2가스와 H2가스를 반응시켜서 원자상 산소(O)를 포함하는 산화종을 생성하고, 이 산화종을 이용하여 실리콘 산화막(SiO2막)을 개질하기 때문이다(개질 공정). 이 원자상 산소(O)를 포함하는 산화종을 실리콘 산화막에 공급하여, 주로 원자상 산소가 지니는 에너지에 의해 실리콘 산화막 중에 포함되는 Si-N, Si-Cl, Si-H, Si-C 결합을 떼어낼 수 있다. Si-O 결합을 형성하기 위한 에너지는 Si-N, Si-Cl, Si-H, Si-C의 결합 에너지보다도 높기 때문에, Si-O 결합 형성에 필요한 에너지를 산화종으로부터 실리콘 산화막에 부여하여, 실리콘 산화막 중의 Si-N, Si-Cl, Si-H, Si-C 결합을 떼어낼 수 있다. Si와의 결합이 떼어내진 N, H, Cl, C는 막 중으로부터 제거되고 N2, H2, Cl2, HCl, CO2 등으로서 배출된다. N, H, Cl, C와의 결합이 떼어내지는 것에 의해 남은 Si의 결합수는 산화종에 포함되는 O와 결부된다. 또한 이 때 실리콘 산화막은 치밀화된다. 이와 같이 하여 제1 실리콘 산화막 및 제2 실리콘 산화막을 지극히 양질의 실리콘 산화막으로 할 수 있다. 그리고 이에 의해 지극히 양질의 ONO 적층 구조의 절연막을 얻을 수 있다.
(변형예3, 4)
도 4, 도 11에 도시한 전술한 성막 시퀀스에서는 제1 원료 가스를 공급하는 공정 및 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하고 이 사이클을 복수 회 반복하여 제1 산화막 및 제2 산화막을 형성하지만, 본 실시 형태는 이와 같은 형태에 한정되지 않는다.
예컨대 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서는 제1 원료 가스를 공급하는 공정을 생략하고, 산소 함유 가스 및 수소 함유 가스를 연속적으로 공급해도 좋다. 또한 이와 같은 성막 시퀀스는 제1 산화막을 형성하는 공정만으로 수행해도 좋고, 제2 산화막을 형성하는 공정만으로 수행해도 좋고, 또한 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방으로 수행해도 좋다.
도 7은 변형예3에 따른 성막 플로우를 도시하는 도면이다. 도 14는 변형예3에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 본 변형예에 따른 산화막의 성막 시퀀스를 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방으로 수행하는 예를 도시한다. 또한 도 8은 변형예4에 따른 성막 플로우를 도시하는 도면이다. 도 15는 변형예4에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 본 변형예에 따른 산화막의 성막 시퀀스를 제2 실리콘 산화막을 형성하는 공정만으로 수행하고, 제1 실리콘 산화막은 도 4, 도 11에 도시한 전술한 성막 시퀀스에 의해 형성하는 예를 도시한다. 이들의 변형예와 도 4, 도 11에 도시한 전술한 성막 시퀀스의 차이점은 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서 제1 원료 가스를 공급하는 공정을 생략하고, 산소 함유 가스 및 수소 함유 가스를 연속적으로 공급하도록 한 점뿐이며, 그 외는 도 4, 도 11에 도시한 전술한 성막 시퀀스와 마찬가지이다. 이하, 제1 산화막(제1 실리콘 산화막)을 형성하는 공정 및 제2 산화막(제2 실리콘 산화막)을 형성하는 공정에 대하여 설명한다.
변형예3에 따른 제1 실리콘 산화막의 성막 시퀀스에서는 HCDS가스를 공급하는 스텝을 수행하지 않고, 도 4, 도 11에 도시한 전술한 성막 시퀀스의 스텝3a, 4a와 마찬가지의 스텝을 수행하여, 즉 열에 의해 활성화된 O2가스 및 H2가스를 연속적으로 공급하고, 그 후 퍼지하여, 웨이퍼(200) 상에 미리 형성된 하지의 실리콘막을 산화시켜서 제1 실리콘 산화막을 형성한다. 즉 이 경우 도 4, 도 11에 도시한 전술한 성막 시퀀스와 같이 웨이퍼(200) 상의 실리콘막 상에 제1 실리콘 산화막을 퇴적시키는 것이 아니라 미리 형성된 실리콘막의 표면을 산화시키는 것에 의해 제1 실리콘 산화막을 형성하게 된다. 따라서 이 경우 웨이퍼(200) 상의 실리콘막은 본래 필요한 막 두께보다도 두껍게 형성해 두고, 두껍게 형성한 부분을 산화시키는 것에 의해 제1 실리콘 산화막을 형성하게 된다.
또한 변형예3, 4에 따른 제2 실리콘 산화막의 성막 시퀀스에서는 제1 실리콘 산화막을 하지로서 실리콘 질화막을 형성한 후, HCDS가스를 공급하는 스텝을 수행하지 않고, 도 4, 도 11에 도시한 전술한 성막 시퀀스의 스텝3a, 4a와 마찬가지의 스텝을 수행하여, 즉 열에 의해 활성화된 O2가스 및 H2가스를 연속적으로 공급하고, 그 후 퍼지하여, 하지의 실리콘 질화막을 산화시켜서 제2 실리콘 산화막을 형성한다. 즉 이 경우 도 4, 도 11에 도시한 전술한 성막 시퀀스와 같이 실리콘 질화막 상에 제2 실리콘 산화막을 퇴적시키는 것이 아니라 실리콘 질화막의 표면을 산화시키는 것에 의해 제2 실리콘 산화막을 형성하게 된다. 따라서 이 경우 제1 실리콘 산화막 상에 형성하는 실리콘 질화막의 막 두께를 본래 필요한 막 두께보다도 두껍게 형성하고, 두껍게 형성한 부분을 산화시키는 것에 의해 제2 실리콘 산화막을 형성하게 된다.
<본 발명의 제2 실시 형태>
전술한 제1 실시 형태에서는 제1 산화막을 형성하는 공정을 수행한 후, 질화막의 형성을 연속해서 수행하도록 하였다. 하지만 이와 같은 경우, 질화막의 형성 초기에서 제1 산화막 표면으로의 제2 원료 가스의 흡착에 지연(소위 인큐베이션 타임)이 발생하여 질화막의 형성 시작이 지연되어서 ONO 적층 구조의 절연막을 성막할 때의 생산성이 저하하는 경우가 있다. 예컨대 실리콘 질화막의 형성에 이용하는 제2 원료 가스로서 HCDS가스보다도 열분해 온도가 높고 반응성이 낮은 DCS가스를 이용하는 경우(도 4, 도 11 등에 도시한 성막 시퀀스의 경우), 실리콘 질화막 형성 공정의 스텝1b를 시작해도 바로 제1 실리콘 산화막 표면에 DCS가스가 화학 흡착하지 않거나, Si가 퇴적하지 않거나 하여 전술한 인큐베이션 타임이 증대해지는 경우가 있다.
그렇기 때문에 본 실시 형태에서는,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스(산소 함유 가스) 및 환원 가스(수소 함유 가스)를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 기판 상에 제1 산화막을 형성하는 공정;
처리 용기 내의 제1 온도 이상 제2 온도 이하의 온도로 가열된 기판에 대하여 질화 가스(질소 함유 가스)를 공급하여, 제1 산화막의 표면에 시드층을 형성하는 공정;
처리 용기 내의 제2 온도로 가열된 기판에 대하여 제2 원료 가스를 공급하는 공정 및 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 제1 산화막의 표면에 형성된 시드층 상에 질화막을 형성하는 공정; 및
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스(산소 함유 가스) 및 환원 가스(수소 함유 가스)를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 질화막 상에 제2 산화막을 형성하는 공정;을 실시한다.
즉 본 실시 형태에서는 제1 산화막을 형성하는 공정을 수행한 후, 질화막을 형성하는 공정을 수행하기 전에 처리 용기 내의 웨이퍼(200)에 대하여 질소 함유 가스를 선행하여 공급하는 공정을 수행하도록 한다. 도 9는 본 실시 형태에 따른 성막 플로우를 도시하는 도면이며, 도 16은 본 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 또한 본 실시 형태와 제1 실시 형태의 차이점은 제1 산화막을 형성하는 공정(제1 실리콘 산화막 형성 공정)을 수행한 후, 질화막을 형성하는 공정(실리콘 질화막 형성 공정)을 수행하기 전에 처리 용기 내의 웨이퍼(200)에 대하여 질소 함유 가스로서 예컨대 NH3가스를 선행하여 공급하는 공정(NH3가스 선행 공급 공정)을 수행하는 점뿐이며, 그 외는 제1 실시 형태와 마찬가지이다. 이하, NH3가스 선행 공급 공정에 대하여 설명한다.
본 실시 형태에 따른 NH3가스 선행 공급 공정에서는 후술하는 스텝1d, 2d를 순서대로 수행하여, 제1 실리콘 산화막의 표면에 대하여 질화 처리를 수행하여 제1 실리콘 산화막의 표면에 시드층으로서의 Si-N 결합을 포함하는 층, 즉 실리콘 질화층을 형성한다.
[스텝1d]
웨이퍼(200) 상에 제1 실리콘 산화막이 형성된 후, 실리콘 질화막 형성 공정의 스텝3b와 마찬가지의 순서에 의해, 가열된 감압 상태의 처리실(201) 내에 NH3가스(또는 NH3가스와 N2가스의 혼합 가스)를 공급하고 배기한다(NH3가스 공급). NH3가스가 열적으로 활성화되거나 또는 열분해하여, 얻어진 질화종은 제1 실리콘 산화막의 표면과 반응한다. 이에 의해 제1 실리콘 산화막의 표면에 대하여 질화 처리(열질화 처리)가 수행되고, 이 질화 처리에 의해 제1 실리콘 산화막의 표면은 Si-N 결합을 포함하는 층, 즉 실리콘 질화층으로 변화된다(개질된다).
[스텝2d]
제1 실리콘 산화막의 표면을 실리콘 질화층으로 변화시킨 후, 실리콘 질화막 형성 공정의 스텝4b와 마찬가지의 순서에 의해 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 것과 함께 처리실(201) 내를 N2가스에 의해 퍼지한다(잔류 가스 제거).
전술한 스텝1d, 2d를 수행하여, 하지막으로서의 제1 실리콘 산화막 상에 소정 두께의 실리콘 질화층을 형성할 수 있다. 그 후 전술한 실리콘 질화막 형성 공정, 제2 실리콘 산화막 형성 공정을 순서대로 수행하여, 웨이퍼(200) 상에 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막이 형성된다.
또한 NH3가스 선행 공급 공정의 처리 조건은 스텝3b, 4b와 대략 마찬가지이다. 단 스텝1d에서의 처리실(201) 내의 압력은 스텝3b에서의 처리실(201) 내의 압력보다도 높게 설정해도 좋다. 예컨대 처리실(201) 내의 압력은 100~3,000Pa의 범위 내의 압력이 되도록 설정해도 좋다. 처리실(201) 내의 압력을 높게 설정할 수록 제1 실리콘 산화막의 표면을 효율적으로 질화시킬 수 있다. 또한 NH3가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 60~300초의 범위 내의 시간이 되도록 스텝3b에서의 NH3가스 공급 시간보다도 길게 설정해도 좋다. 도 16은 NH3가스 선행 공급 공정에서 NH3가스를 웨이퍼(200)에 대하여 공급하는 시간을 스텝3b에서 NH3가스를 웨이퍼(200)에 대하여 공급하는 시간보다도 길게 하는 모습을 도시한다. 또한 웨이퍼(200)의 온도는 스텝1a~4a에서의 웨이퍼(200)의 온도(제1 온도) 이상의 온도이며, 스텝1b~4b에서의 웨이퍼(200)의 온도(제2 온도) 이하의 온도로 해도 좋다. 단 웨이퍼(200)의 온도를 스텝1b~4b에서의 웨이퍼(200)의 온도(제2 온도)와 마찬가지의 온도로 하여, 제1 실리콘 산화막의 표면을 충분히 개질(질화)시킬 수 있다. 이 경우 웨이퍼(200)의 온도를 스텝1d~2d 및 스텝1b~4b에 걸쳐서 변경하지 않기 때문에, 그 분량만큼 생산성을 향상시킬 수 있다. 즉 웨이퍼(200)의 온도는 제2 온도와 마찬가지의 온도로 하는 것이 더 바람직하다. 또한 NH3가스 선행 공급 공정에서 제1 실리콘 산화막의 표면에 형성하는 Si-N 결합을 포함하는 층(실리콘 질화층)의 두께는 예컨대 0.1~2nm, 바람직하게는 1~2nm의 범위 내의 두께로 하는 것이 좋다.
본 실시 형태에서도 제1 실시 형태와 마찬가지의 효과를 가질 수 있다. 또한 본 실시 형태에 의하면, NH3가스 선행 공급 공정에서 제1 실리콘 산화막의 표면에 형성한 실리콘 질화층이 제1 실리콘 산화막 상으로의 제2 원료 가스의 화학 흡착이나 Si의 퇴적을 촉진시키는 층으로서 작용한다. 즉 제1 실리콘 산화막의 표면에 형성한 실리콘 질화층이 실리콘 질화막의 형성 초기에서 실리콘 질화막의 성장을 촉진시키는 초기층, 즉 시드층으로서 작용한다. 그 결과, 제2 원료 가스로서 HCDS가스보다도 열분해 온도가 높고 반응성이 낮은 DCS가스 등을 이용하는 경우에도 실리콘 질화막의 형성을 신속하게 시작할 수 있어서(인큐베이션 타임을 단축할 수 있어서) ONO 적층 구조의 절연막을 성막할 때의 생산성을 한층 더 향상시킬 수 있다.
<본 발명의 제3 실시 형태>
전술한 제2 실시 형태에서는 제1 산화막을 형성하는 공정을 수행한 후 질화막을 형성하는 공정을 수행하기 전에, 처리 용기 내의 웨이퍼(200)에 대하여 질소 함유 가스를 선행하여 공급하는 공정을 수행하여 질화막의 형성을 신속하게 시작하도록 하였지만, 본 발명은 이와 같은 형태에 한정되지 않는다.
예컨대 제1 산화막을 형성하는 공정을 수행한 후 질화막을 형성하는 공정을 수행하기 전에, 처리 용기 내의 웨이퍼(200)에 대하여 제2 원료 가스보다도 반응성이 높은 원료 가스를 공급하는 공정; 및 질소 함유 가스를 공급하는 공정;을 교호적으로 수행하는 공정을 수행해도 좋다.
도 10은 본 실시 형태에 따른 성막 플로우를 도시하는 도면이며, 도 17은 본 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 또한 본 실시 형태와 제2 실시 형태의 차이점은 제1 산화막을 형성하는 공정(제1 실리콘 산화막 형성 공정)을 수행한 후 질화막을 형성하는 공정(실리콘 질화막 형성 공정)을 수행하기 전에, 처리 용기 내의 웨이퍼(200)에 대하여 제2 원료 가스로서 이용하는 DCS가스보다도 반응성이 높은 원료 가스로서 예컨대 HCDS가스를 공급하는 공정; 및 질소 함유 가스로서 예컨대 NH3가스를 공급하는 공정;을 교호적으로 수행하는 공정(HCDS-SiN층 형성 공정)을 수행하는 점 뿐이며, 그 외는 제2 실시 형태와 마찬가지이다. 이하, HCDS-SiN층 형성 공정에 대하여 설명한다.
본 실시 형태에 따른 HCDS-SiN층 형성 공정에서는 후술하는 스텝1e~4e를 1사이클로 하고 이 사이클을 1회 이상(소정 횟수) 수행하여, 제1 실리콘 산화막의 표면을 피복하도록(라미네이트하도록) 시드층으로서의 실리콘 질화층 (HCDS-SiN층)을 형성한다.
[스텝1e]
웨이퍼(200) 상에 제1 실리콘 산화막이 형성된 후, 제1 실리콘 산화막 형성 공정의 스텝1a와 마찬가지의 순서 및 마찬가지의 조건에 의해 가열된 감압 상태의 처리실(201) 내에 HCDS가스(또는 HCDS가스와 N2가스의 혼합 가스)를 공급하고 배기한다(HCDS가스 공급). 이 스텝1e에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 제1 실리콘 산화막 형성 공정에서의 스텝1a에서의 그것들과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 HCDS가스의 공급에 의해 제1 실리콘 산화막 상에 실리콘 함유층을 형성한다.
[스텝2e]
제1 실리콘 산화막 상에 실리콘 함유층이 형성된 후, 제1 실리콘 산화막 형성 공정의 스텝2a와 마찬가지의 순서 및 마찬가지의 조건에 의해 HCDS가스를 처리실(201) 내로부터 배제하는 것과 함께 처리실(201) 내를 N2가스에 의해 퍼지한다(잔류 가스 제거).
[스텝3e]
처리실(201) 내의 잔류 가스를 제거한 후, 실리콘 질화막 형성 공정의 스텝3b와 마찬가지의 순서 및 마찬가지의 조건에 의해 가열된 감압 상태의 처리실(201) 내에NH3가스(또는 NH3가스와 N2가스의 혼합 가스)를 공급하고 배기한다(NH3가스 공급). 이 스텝3e에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 실리콘 질화막 형성 공정에서의 스텝3b에서의 그것들과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 NH3가스의 공급에 의해 스텝1e에서 형성한 실리콘 함유층을 실리콘 질화층으로 변화(개질)시킨다.
[스텝4e]
실리콘 함유층을 실리콘 질화층으로 변화시킨 후, 실리콘 질화막 형성 공정의 스텝4b와 마찬가지의 순서 및 마찬가지의 조건에 의해 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 것과 함께 처리실(201) 내를 N2가스에 의해 퍼지한다(잔류 가스 제거).
전술한 스텝1e~4e를 1사이클로 하고 이 사이클을 1회 이상, 바람직하게는 1~10회 정도 예컨대 1~5회 정도 수행하여, 하지막으로서의 제1 실리콘 산화막의 표면을 피복하도록 소정 두께의 실리콘 질화층(HCDS-SiN층)을 형성할 수 있다. 도 17은 전술한 사이클을 1회 수행하는 경우를 예시한다. 또한 스텝1e~4e에서의 웨이퍼(200)의 온도는 제2 실시 형태의 스텝1d에서의 웨이퍼(200)의 온도와 마찬가지의 온도로 할 수 있다. 단 스텝1d와 마찬가지로 웨이퍼(200)의 온도는 제2 온도와 마찬가지의 온도로 하는 것이 더 바람직하다. 그 후 전술한 실리콘 질화막 형성 공정, 제2 실리콘 산화막 형성 공정을 순서대로 수행하여, 웨이퍼(200) 상에 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막이 형성된다. 또한 HCDS-SiN층 형성 공정에서 제1 실리콘 산화막의 표면 상에 형성하는 HCDS-SiN층의 두께는 예컨대 0.1~2nm, 바람직하게는 1~2nm의 범위 내의 두께로 하는 것이 좋다.
본 실시 형태에서도 제1 실시 형태와 마찬가지의 효과를 가질 수 있다. 또한 본 실시 형태에 의하면, HCDS-SiN층 형성 공정에서 제1 실리콘 산화막의 표면을 피복하도록 형성한 HCDS-SiN층이 제1 실리콘 산화막 상으로의 제2 원료 가스의 화학 흡착이나 Si의 퇴적을 촉진시키는 층으로서 작용한다. 즉 제1 실리콘 산화막 상에 형성한 HCDS-SiN층이 실리콘 질화막의 형성 초기에서 실리콘 질화막의 성장을 촉진시키는 초기층, 즉 시드층으로서 작용한다. 그 결과, 제2 원료 가스로서 HCDS가스보다도 열분해 온도가 높고 반응성이 낮은 DCS가스 등을 이용하는 경우에서도 실리콘 질화막의 형성을 신속하게 시작할 수 있어서(인큐베이션 타임을 단축할 수 있어서), ONO 적층 구조의 절연막을 성막할 때의 생산성을 한층 더 향상시킬 수 있다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시의 형태를 구체적으로 설명하였지만, 본 발명은 전술한 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다.
예컨대 전술한 제1 산화막과 제2 산화막은 동일한 방법에 의해 형성하는 경우에 한정되지 않고, 다른 방법에 의해 형성해도 좋다. 즉 제1 산화막의 형성 및 제2 산화막의 형성에서는 전술한 제1 실시 형태 및 그 변형예1~4에 기재된 각종 성막 시퀀스를 적절히 조합시켜서 이용해도 좋다.
또한 예컨대 전술한 질화막은 제2 원료 가스(DCS가스)를 공급하는 공정 및 질화 가스(NH3가스)를 공급하는 공정을 교호적으로 수행하여, 형성하는 경우에 한정되지 않고, 제2 원료 가스를 공급하는 공정 및 질화 가스를 공급하는 공정을 동시에 수행하여, 형성해도 좋다. 전술한 각 실시 형태와 같이, 제2 원료 가스로서 클로로실란계 원료 가스인 DCS가스를 사용하는 경우, 전술한 각 실시 형태에서의 처리 조건 하에서는 제2 원료 가스와 질화 가스를 동시에 공급해도 제1 산화막과, 질화막과, 제2 산화막을 형성할 때의 기판의 온도의 차이를 150℃ 이내, 바람직하게는 100℃ 이내로 할 수 있다. 이 경우 제2 원료 가스와 질화 가스를 교호적으로 공급하는 경우보다도 성막 레이트를 높게 할 수 있고, 제1 산화막, 질화막, 제2 산화막을 형성할 때의 생산성을 더 향상시킬 수 있다.
이 경우에서도 제2 원료 가스와 질화 가스를 동시에 공급하기 전에 제2 실시 형태와 같이 NH3가스 선행 공급 공정을 수행해도 좋다. 또한 제2 원료 가스와 질화 가스를 동시에 공급하기 전에 제3 실시 형태와 같이 HCDS-SiN층 형성 공정을 수행해도 좋다. 이에 의해 이 경우에서도 실리콘 질화막의 형성을 신속하게 시작할 수 있어서(인큐베이션 타임을 단축할 수 있어서), ONO 적층 구조의 절연막을 성막할 때의 생산성을 한층 더 향상시킬 수 있다.
또한 예컨대 전술한 실시 형태에서는 SiO/SiN/SiO의 적층 구조(ONO 적층 구조)를 가지는 적층막의 형성예에 대하여 설명하였지만, 본 발명은 이와 같은 경우에 한정되지 않는다. 예컨대 본 발명은 SiO/SiN/SiO/SiN/SiO의 적층 구조(ONONO 적층 구조)를 가지는 적층막이나, SiN/SiO/SiN의 적층 구조(NON적층 구조)를 가지는 적층막이나, SiO/SiN의 적층 구조(ON적층 구조)를 가지는 적층막이나, SiN/SiO의 적층 구조(NO 적층 구조)를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 본 실시 형태의 성막 시퀀스는 웨이퍼 상에 형성된 다른 막 상에 ONO 적층 구조(또는 ONONO, NON, ON, NO 적층 구조 등)의 절연막을 형성하는 경우(즉 스택 구조를 형성하는 경우)에 한정되지 않고, 웨이퍼 표면에 형성된 트렌치 구조 상에 ONO 적층 구조의 절연막을 형성하는 경우(즉 트렌치 구조를 형성하는 경우)에도 바람직하게 적용 가능하다.
또한 ONO, ONONO, NON, ON, NO 적층 구조 등의 적층막을 형성할 때에 질화막 상에 산화막을 형성하는 경우, 산화막 형성 시의 하지가 되는 질화막은 적층막을 구성하는데 필요한 질화막의 막 두께보다도 두껍게 형성해도 좋다. 즉 산화막 형성 시의 하지가 되는 질화막을 형성할 때에는 최종적으로 필요한 막 두께보다도 두꺼운 막 두께의 질화막을 형성해도 좋다. 질화막 상에 전술한 각 실시 형태에서의 성막 시퀀스에서 산화막을 형성하는 경우, 산화막을 형성하는 과정에서 하지가 되는 질화막의 표면이 산화(소비)되어 질화막의 막 두께가 적층막을 구성하는데 필요한 질화막의 막 두께보다도 얇아지는 경우가 있다. 이와 같은 경우에 미리 질화막 상에 산화막을 형성할 때에 산화(소비)되는 질화막의 막 두께를 측정해 두고, 질화막을 형성할 때에 그 분량만큼만 두껍게 질화막을 형성하도록 하여, 적층막에서 필요한 질화막의 막 두께를 확보하는 것이 가능해진다.
또한 전술한 산화막을 형성하는 공정에서는 또한 산화막 중에 질소(N)를 첨가하는 공정을 수행해도 좋다. 이 경우 산화막을 형성하는 공정에서 또한 처리 용기 내의 기판에 대하여 질화 가스를 공급하는 공정을 설치하면 좋다. 이 때의 처리 조건이나 사용하는 질화 가스는 예컨대 제1 실시 형태의 성막 시퀀스에서의 스텝3b와 마찬가지의 처리 조건, 질화 가스로 할 수 있다. 단 기판의 온도는 제1 실시 형태의 성막 시퀀스에서의 스텝1a~4a에서의 기판의 온도와 마찬가지의 온도로 하는 것이 바람직하다. 또한 산화막 중에 질소를 미량 첨가하는 경우에는 논 플라즈마의 조건으로 하는 것이 바람직하다. 또한 산화막 중에 질소를 첨가하는 공정은 처리 용기 내의 기판에 대하여 산화 가스 및 환원 가스를 공급하는 공정과 동시에 수행해도 좋고, 그 공정 전에 수행해도 좋고, 그 공정 후에 수행해도 좋다.
예컨대 제1 실시 형태의 성막 시퀀스(도 4 참조)에서는 산화막 중에 질소를 첨가하는 공정을 스텝3a와 동시에 수행해도 좋고, 스텝3a 전에 수행해도 좋고, 스텝3a의 후에 수행해도 좋다.
이와 같이 산화막을 형성하는 공정에서 또한 산화막 중에 질소를 첨가하는 공정을 설치하여, 질소가 첨가된 산화막을 형성하는 것도 가능해진다.
또한 전술한 질화막을 형성하는 공정에서는 또한 질화막 중에 산소(O)를 첨가하는 공정을 수행해도 좋다. 이 경우 질화막을 형성하는 공정에서 또한 처리 용기 내의 기판에 대하여 산화 가스를 공급하는 공정을 설치하도록 하면 좋다. 이 때의 처리 조건이나 사용하는 산화 가스는 예컨대 제1 실시 형태의 성막 시퀀스에서의 스텝3a와 마찬가지의 처리 조건, 산화 가스로 할 수 있다. 단 기판의 온도는 제1 실시 형태의 성막 시퀀스에서의 스텝1b~4b에서의 기판의 온도와 마찬가지의 온도로 하는 것이 바람직하다. 또한 질화막 중에 산소를 미량 첨가하는 경우에는 논 플라즈마의 조건으로 하는 것이 바람직하다. 또한 질화막 중에 산소를 첨가하는 공정은 처리 용기 내의 기판에 대하여 질화 가스를 공급하는 공정과 동시에 수행해도 좋고, 그 공정 전에 수행해도 좋고, 그 공정 후에 수행해도 좋다.
예컨대 제1 실시 형태의 성막 시퀀스(도 4 참조)에서는 질화막 중에 산소를 첨가하는 공정을 스텝3b와 동시에 수행해도 좋고, 스텝3b 전에 수행해도 좋고, 스텝3b의 후에 수행해도 좋다.
이와 같이 질화막을 형성하는 공정에서 또한 질화막 중에 산소를 첨가하는 공정을 설치하여, 산소가 첨가된 질화막을 형성하는 것도 가능해진다.
또한 전술한 실시 형태에서는 SiO/SiN/SiO의 적층 구조를 가지는 적층막의 형성예에 대하여 설명하였지만, 본 발명은 이와 같은 경우에 한정되지 않는다. 예컨대 본 발명은 SiON/SiN/SiO의 적층 구조를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다. 그 외에 본 발명은 SiO/SiON/SiO의 적층 구조를 가지는 적층막이나, SiO/SiN/SiON의 적층 구조를 가지는 적층막이나, SiON/SiN/SiON의 적층 구조를 가지는 적층막 등, 산화막(SiO막), 질화막(SiN막) 및 산질화막(SiON막) 중 적어도 어느 하나를 포함하는 적층 구조를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 SiON막은 산화막 중에 질소(N)를 첨가하는 경우나 질화막 중에 산소(O)를 첨가하는 경우와 마찬가지의 공정을 수행하여, 형성할 수 있다.
또한 전술한 실시 형태에서는 박막으로서 반도체 원소인 실리콘을 포함하는 실리콘계 절연막의 적층막을 형성하는 예에 대하여 설명하였지만, 본 발명은 이와 같은 경우에 한정되지 않는다. 예컨대 본 발명은 예컨대 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막의 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
예컨대 본 발명은 티타늄계 박막인 티타늄 산화막(TiO막)과 티타늄 질화막(TiN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 지르코늄계 박막인 지르코늄 산화막(ZrO막)과 지르코늄 질화막(ZrN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 하프늄계 박막인 하프늄 산화막(HfO막)과 하프늄 질화막(HfN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 탄탈계 박막인 탄탈 산화막(TaO막)과 탄탈 질화막(TaN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 알루미늄계 박막인 알루미늄 산화막(AlO막)과 알루미늄 질화막(AlN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 몰리브덴계 박막인 몰리브덴 산화막(MoO막)과 몰리브덴 질화막(MoN막)의 적층 구조를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
이 경우 원료 가스로서 금속 원소를 포함하는 원료를 이용하여 전술한 실시 형태와 마찬가지의 성막 시퀀스에 의해 성막을 수행할 수 있다. 또한 질소 함유 가스, 산소 함유 가스 및 수소 함유 가스는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 처리 조건도 전술한 실시 형태와 마찬가지의 처리 조건을 이용할 수 있다.
예컨대 티타늄계 박막을 형성하는 경우에는 원료로서 티타늄테트라클로라이드(TiCl4), 테트라키스에틸메틸아미노티타늄(Ti[N(C2H5)(CH3)]4, 약칭: TEMAT), 테트라키스디메틸아미노티타늄(Ti[N(CH3)2]4, 약칭: TDMAT), 테트라키스디에틸아미노티타늄(Ti[N(C2H5)2]4, 약칭: TDEAT) 등을 이용할 수 있다.
또한 예컨대 지르코늄계 박막을 형성하는 경우에는 원료로서 지르코늄테트라클로라이드(ZrCl4), 테트라키스에틸메틸아미노지르코늄(Zr[N(C2H5)(CH3)]4, 약칭: TEMAZ), 테트라키스디메틸아미노지르코늄(Zr[N(CH3)2]4, 약칭: TDMAZ), 테트라키스디에틸아미노지르코늄(Zr[N(C2H5)2]4, 약칭: TDEAZ) 등을 이용할 수 있다.
또한 예컨대 하프늄계 박막을 형성하는 경우에는 원료로서 하프늄테트라클로라이드(HfCl4), 테트라키스에틸메틸아미노하프늄(Hf[N(C2H5)(CH3)]4, 약칭: TEMAH), 테트라키스디메틸아미노하프늄(Hf[N(CH3)2]4, 약칭: TDMAH), 테트라키스디에틸아미노하프늄(Hf[N(C2H5)2]4, 약칭: TDEAH) 등을 이용할 수 있다.
이와 같이 본 발명은 실리콘계 박막의 적층막뿐만 아니라, 금속계 박막의 적층막의 성막에도 적용할 수 있고, 이 경우에서도 전술한 실시 형태와 마찬가지의 작용 효과를 얻을 수 있다. 즉 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 바람직하게 적용할 수 있다.
또한 전술한 실시 형태에서는 한번에 복수 매의 기판을 처리하는 뱃치식의 기판 처리 장치를 이용하여 박막을 성막하는 예에 대하여 설명하였지만, 본 발명은 이에 한정되지 않고, 한번에 1장 또는 몇 장의 기판을 처리하는 매엽식의 기판 처리 장치를 이용하여 박막을 성막하는 경우에도 바람직하게 적용할 수 있다.
또한 전술한 각 실시 형태나 각 변형예나 각 응용예 등은 적절히 조합시켜서 이용할 수 있다.
또한 본 발명은 예컨대 기존의 기판 처리 장치의 프로세스 레시피를 변경하는 것에 의해서도 실현할 수 있다. 프로세스 레시피를 변경하는 경우에는 본 발명에 따른 프로세스 레시피를 상기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 개재하여 기존의 기판 처리 장치에 인스톨하거나, 또한 기존의 기판 처리 장치의 입출력 장치를 조작하여 그 프로세스 레시피 자체를 본 발명에 따른 프로세스 레시피로 변경하는 것도 가능하다.
[실시예]
(실시예1)
본 실시예에서는 전술한 제1 실시 형태와 마찬가지의 수법을 이용하여(즉 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이에서 NH3가스 선행 공급 공정이나 HCDS-SiN층 형성 공정을 수행하지 않고), 제1 실리콘 산화막 상에 실리콘 질화막을 연속해서 형성하였다. 또한 전술한 제2 실시 형태와 마찬가지의 수법을 이용하여(즉 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이에서 NH3가스 선행 공급 공정을 수행하여), 제1 실리콘 산화막 상에 실리콘 질화막을 형성하였다. 그리고 각각의 경우에서의 실리콘 질화막의 성막 지연 시간(인큐베이션 타임)을 측정하였다.
도 18은 실시예1에 따른 실리콘 질화막의 성막 지연 시간의 평가 결과를 모식적으로 도시하는 도면이다. 도 18의 횡축(橫軸)은 실리콘 질화막 형성 공정에서의 사이클(스텝1b~4b)의 실시 횟수를 도시하고, 종축(縱軸)은 실리콘 질화막의 막 두께[임의 단위(a.u.)]를 도시한다. 도면 중의 점선은 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정을 연속해서 수행한 경우를 도시하고, 실선은 그 사이에 NH3가스 선행 공급 공정을 수행한 경우를 도시한다.
도 18에 의하면, 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이에서 NH3가스 선행 공급 공정을 수행한 경우(실선)에는 NH3가스 선행 공급 공정을 수행하지 않은 경우(점선)에 비해 실리콘 질화막의 성막이 빨리 시작되어서 성막 지연 시간(인큐베이션 타임)을 단축할 수 있다는 것을 알 수 있다. 즉 제1 실리콘 산화막의 표면에 형성한 실리콘 질화층이 실리콘 질화막의 형성을 촉진시키는 초기층(시드층)으로서 작용하여, 실리콘 질화막의 성막이 더 신속하게 시작된 것을 알 수 있다. 즉 ONO 적층 구조의 절연막을 형성할 때의 생산성을 한층 더 향상할 수 있도록 된 것을 알 수 있다.
(실시예2)
본 실시예에서는 전술한 제1 실시 형태와 마찬가지의 수법을 이용하여(즉 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이에서 NH3가스 선행 공급 공정이나 HCDS-SiN층 형성 공정을 수행하지 않고), 제1 실리콘 산화막 상에 실리콘 질화막을 연속해서 형성하였다. 또한 전술한 제3 실시 형태와 마찬가지의 수법을 이용하여(즉 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이에서 HCDS-SiN층 형성 공정을 수행하여), 제1 실리콘 산화막 상에 실리콘 질화막을 형성하였다. 그리고 각각의 경우에서의 실리콘 질화막의 성막 지연 시간(인큐베이션 타임)을 측정하였다.
도 19는 실시예2에 따른 실리콘 질화막의 성막 지연 시간의 평가 결과를 모식적으로 도시하는 도면이다. 도 19의 횡축은 실리콘 질화막 형성 공정에서의 사이클(스텝1b~4b)의 실시 횟수를 도시하고, 종축은 실리콘 질화막의 막 두께[임의 단위(a.u.)]를 도시한다. 도면 중의 점선은 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정을 연속해서 수행한 경우를 도시하고, 실선은 그 사이에 HCDS-SiN층 형성 공정을 수행한 경우를 도시한다.
도 19에 의하면 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이에 HCDS-SiN층 형성 공정을 수행한 경우(실선)에는 HCDS-SiN층 형성 공정을 수행하지 않은 경우(점선)에 비해 실리콘 질화막의 성막이 빨리 시작되어서 성막 지연 시간(인큐베이션 타임)을 단축할 수 있다는 것을 알 수 있다. 즉 제1 실리콘 산화막의 표면을 피복하도록 형성한 HCDS-SiN층이 실리콘 질화막의 형성을 촉진시키는 초기층(시드층)으로서 작용하고, 실리콘 질화막의 성막이 더 신속하게 시작된 것을 알 수 있다. 즉 ONO 적층 구조의 절연막을 형성할 때의 생산성을 한층 더 향상시킬 수 있다는 것을 알 수 있다.
<본 발명이 바람직한 형태>
이하, 본 발명이 바람직한 형태를 부기(附記)한다.
(부기1)
본 발명의 일 형태에 의하면,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 공정;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 공정; 및
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기2)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 처리 용기 내의 압력을 상기 질화막을 형성하는 공정에서의 상기 처리 용기 내의 압력보다도 큰 압력으로 한다.
(부기3)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 처리 용기 내의 압력을 상기 질화막을 형성하는 공정의 상기 제2 원료 가스를 공급하는 공정에서의 상기 처리 용기 내의 압력보다도 큰 압력으로 한다.
(부기4)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 처리 용기 내의 압력을 상기 질화막을 형성하는 공정의 상기 질화 가스를 공급하는 공정에서의 상기 처리 용기 내의 압력보다도 큰 압력으로 한다.
(부기5)
부기1 내지 4 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 기판의 온도를 상기 제2 온도로 한다.
(부기6)
부기1 내지 5 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 산화막의 표면을 질화한다.
(부기7)
부기1 내지 6 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 산화막의 표면을 열질화한다.
(부기8)
부기1 내지 7 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 산화막의 표면에 상기 시드층으로서 질화층을 형성한다.
(부기9)
부기1 내지 8 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 산화막의 표면에 상기 시드층으로서 Si-N 결합을 포함하는 층을 형성한다.
(부기10)
부기1 내지 9 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 처리 용기 내의 상기 제1 온도 이상 상기 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 상기 시드층을 형성한다.
(부기11)
부기 10의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 교호적으로 수행한다.
(부기12)
부기 10 또는 11의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층을 형성하는 공정에서는 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 교호적으로 수행하여, 상기 산화막 상에 상기 시드층으로서 질화층을 형성한다.
(부기13)
부기1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료 가스와 상기 제2 원료 가스가 각각 다른 물질로 구성되고, 상기 제2 원료 가스는 상기 제1 원료 가스보다도 반응성이 낮다.
(부기14)
부기1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료 가스와 상기 제2 원료 가스가 각각 동일한 원소를 포함하는 다른 물질로 구성되고, 상기 제2 원료 가스는 상기 제1 원료 가스보다도 반응성이 낮다.
(부기15)
부기1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료 가스와 상기 제2 원료 가스가 각각 실리콘을 포함하는 다른 물질로 구성되고, 상기 제2 원료 가스는 상기 제1 원료 가스보다도 반응성이 낮다.
(부기16)
부기1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료 가스와 상기 제2 원료 가스가 각각 같은 물질로 구성된다.
(부기17)
부기1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료 가스와 상기 제2 원료 가스가 각각 동일한 원소를 포함하는 같은 물질로 구성된다.
(부기18)
부기1 내지 12중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료 가스와 상기 제2 원료 가스가 각각 실리콘을 포함하는 같은 물질로 구성된다.
(부기19)
부기1 내지 18 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 교호적으로 수행한다.
(부기20)
부기1 내지 19 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 교호적으로 수행하고, 그 후 다시 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 수행한다.
(부기21)
부기1 내지 20 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 질화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 교호적으로 수행한다.
(부기22)
부기1 내지 21 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 질화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 동시에 수행한다.
(부기23)
부기1 내지 22 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정은 상기 산화막 중에 질소를 첨가하는 공정을 더 포함한다.
(부기24)
부기1 내지 23 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 질화막을 형성하는 공정은 상기 질화막 중에 산소를 첨가하는 공정을 더 포함한다.
(부기25)
부기1 내지 24 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 대기압 미만의 압력 하에 있는 상기 처리 용기 내의 상기 제1 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 질화막 상에 산화막을 형성하는 공정을 더 포함한다.
(부기26)
본 발명의 다른 형태에 의하면,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 제1 산화막을 형성하는 공정;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 제1 산화막의 표면에 시드층을 형성하는 공정;
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 제1 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 공정; 및
대기압 미만의 압력 하에 있는 상기 처리 용기 내의 상기 제1 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 질화막 상에 제2 산화막을 형성하는 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기27)
부기 25 또는 26 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 질화막을 형성하는 공정에서는 최종적으로 필요한 막 두께보다도 두꺼운 막 두께의 상기 질화막을 형성한다.
(부기28)
본 발명의 또 다른 형태에 의하면,
대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 공정;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 공정; 및
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 공정;
을 포함하는 기판 처리 방법이 제공된다.
(부기29)
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리 용기;
상기 처리 용기 내의 상기 기판을 가열하는 히터;
상기 처리 용기 내의 상기 기판에 대하여 제1 원료 가스 및 제2 원료 가스를 공급하는 원료 가스 공급계;
상기 처리 용기 내의 상기 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계;
상기 처리 용기 내의 상기 기판에 대하여 환원 가스를 공급하는 환원 가스 공급계;
상기 처리 용기 내의 상기 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계;
상기 처리 용기 내의 압력을 조정하는 압력 조정부; 및
대기압 미만의 압력 하에 있는 상기 처리 용기 내의 제1 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 처리 및 상기 산화 가스 및 상기 환원 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 처리와,
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 상기 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 처리와,
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 상기 제2 원료 가스를 공급하는 처리 및 상기 질화 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 처리를 수행하도록 상기 히터, 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 환원 가스 공급계, 상기 질화 가스 공급계 및 상기 압력 조정부를 제어하는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
(부기30)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 순서 및 산화 가스 및 환원 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 순서;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 순서; 및
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 순서 및 상기 질화 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 순서;
를 컴퓨터에 실행시키는 프로그램이 제공된다.
(부기31)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 순서 및 산화 가스 및 환원 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 순서;
상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 순서; 및
상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 순서 및 상기 질화 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 순서;
를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121: 컨트롤러(제어부) 200: 웨이퍼(기판)
201: 처리실 202: 처리로
203: 반응관 207: 히터
231: 배기관 244: APC밸브(압력 조정부)

Claims (18)

  1. 대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 공정;
    상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 공정; 및
    상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 공정;
    을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 시드층을 형성하는 공정에서의 상기 처리 용기 내의 압력을 상기 질화막을 형성하는 공정에서의 상기 처리 용기 내의 압력보다도 큰 압력으로 하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 시드층을 형성하는 공정에서는 상기 처리 용기 내의 압력을 상기 질화막을 형성하는 공정의 상기 제2 원료 가스를 공급하는 공정에서의 상기 처리 용기 내의 압력보다도 큰 압력으로 하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 시드층을 형성하는 공정에서는 상기 처리 용기 내의 압력을 상기 질화막을 형성하는 공정의 상기 질화 가스를 공급하는 공정에서의 상기 처리 용기 내의 압력보다도 큰 압력으로 하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서 상기 시드층을 형성하는 공정에서는 상기 기판의 온도를 상기 제2 온도로 하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서, 상기 시드층을 형성하는 공정에서는 상기 산화막의 표면을 질화하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서, 상기 시드층을 형성하는 공정에서는 상기 처리 용기 내의 상기 제1 온도 이상 상기 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 상기 시드층을 형성하는 반도체 장치의 제조 방법.
  8. 제7항에 있어서, 상기 시드층을 형성하는 공정에서는 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 교호적으로 수행하는 반도체 장치의 제조 방법.
  9. 제1항에 있어서, 상기 제1 원료 가스와 상기 제2 원료 가스가 각각 다른 물질로 구성되고, 상기 제2 원료 가스는 상기 제1 원료 가스보다도 반응성이 낮은 반도체 장치의 제조 방법.
  10. 제1항에 있어서, 상기 산화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 교호적으로 수행하는 반도체 장치의 제조 방법.
  11. 제1항에 있어서, 상기 산화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 교호적으로 수행하고, 그 후 다시 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 수행하는 반도체 장치의 제조 방법.
  12. 제1항에 있어서, 상기 질화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 교호적으로 수행하는 반도체 장치의 제조 방법.
  13. 제1항에 있어서, 상기 질화막을 형성하는 공정에서는 상기 기판에 대하여 상기 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 동시에 수행하는 반도체 장치의 제조 방법.
  14. 제1항에 있어서, 대기압 미만의 압력 하에 있는 상기 처리 용기 내의 상기 제1 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 공정 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 질화막 상에 산화막을 형성하는 공정을 더 포함하는 반도체 장치의 제조 방법.
  15. 제14항에 있어서, 상기 질화막을 형성하는 공정에서는 최종적으로 필요한 막 두께보다도 두꺼운 막 두께의 상기 질화막을 형성하는 반도체 장치의 제조 방법.
  16. 대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 공정 및 산화 가스 및 환원 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 공정;
    상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 공정; 및
    상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 공정;
    을 포함하는 기판 처리 방법.
  17. 기판을 수용하는 처리 용기;
    상기 처리 용기 내의 상기 기판을 가열하는 히터;
    상기 처리 용기 내의 상기 기판에 대하여 제1 원료 가스 및 제2 원료 가스를 공급하는 원료 가스 공급계;
    상기 처리 용기 내의 상기 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계;
    상기 처리 용기 내의 상기 기판에 대하여 환원 가스를 공급하는 환원 가스 공급계;
    상기 처리 용기 내의 상기 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계;
    상기 처리 용기 내의 압력을 조정하는 압력 조정부; 및
    대기압 미만의 압력 하에 있는 상기 처리 용기 내의 제1 온도로 가열된 상기 기판에 대하여 상기 제1 원료 가스를 공급하는 처리 및 상기 산화 가스 및 상기 환원 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 처리와,
    상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 상기 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 처리와,
    상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 상기 제2 원료 가스를 공급하는 처리 및 상기 질화 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 처리를 수행하도록 상기 히터, 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 환원 가스 공급계, 상기 질화 가스 공급계 및 상기 압력 조정부를 제어하는 제어부;
    를 포함하는 기판 처리 장치.
  18. 기판 처리 장치의 대기압 미만의 압력 하에 있는 처리 용기 내의 제1 온도로 가열된 기판에 대하여 제1 원료 가스를 공급하는 순서 및 산화 가스 및 환원 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 기판 상에 산화막을 형성하는 순서;
    상기 처리 용기 내의 상기 제1 온도 이상 제2 온도 이하의 온도로 가열된 상기 기판에 대하여 질화 가스를 공급하여, 상기 산화막의 표면에 시드층을 형성하는 순서; 및
    상기 처리 용기 내의 상기 제2 온도로 가열된 상기 기판에 대하여 제2 원료 가스를 공급하는 순서 및 상기 질화 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여, 상기 산화막의 표면에 형성된 상기 시드층 상에 질화막을 형성하는 순서;
    를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020120101545A 2011-09-30 2012-09-13 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 KR101396253B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2011-218019 2011-09-30
JP2011218019 2011-09-30
JP2012176570A JP6042656B2 (ja) 2011-09-30 2012-08-09 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JPJP-P-2012-176570 2012-08-09

Publications (2)

Publication Number Publication Date
KR20130035874A true KR20130035874A (ko) 2013-04-09
KR101396253B1 KR101396253B1 (ko) 2014-05-16

Family

ID=47992960

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120101545A KR101396253B1 (ko) 2011-09-30 2012-09-13 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Country Status (5)

Country Link
US (1) US9190264B2 (ko)
JP (1) JP6042656B2 (ko)
KR (1) KR101396253B1 (ko)
CN (1) CN103035485B (ko)
TW (1) TWI508174B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170113057A (ko) * 2016-03-29 2017-10-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20190014470A (ko) * 2017-08-02 2019-02-12 도쿄엘렉트론가부시키가이샤 실리콘막의 형성 방법 및 형성 장치
KR20190030169A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 실리콘 산화막을 형성하는 방법 및 장치, 및 기억 매체

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6476369B2 (ja) 2013-03-25 2019-03-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6124724B2 (ja) * 2013-07-25 2017-05-10 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
JP5886381B2 (ja) * 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160268299A1 (en) 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6523091B2 (ja) 2015-07-24 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109075070A (zh) 2016-06-07 2018-12-21 株式会社国际电气 基板处理装置、炉口部以及半导体装置的制造方法及程序
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6559902B2 (ja) * 2016-09-20 2019-08-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6919350B2 (ja) * 2017-06-09 2021-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN118315255A (zh) * 2017-08-14 2024-07-09 株式会社国际电气 等离子体生成装置
KR102192602B1 (ko) * 2017-08-14 2020-12-17 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 플라스마 생성부, 프로그램, 플라스마 생성 방법, 전극 및 반응관
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP7243521B2 (ja) * 2019-08-19 2023-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11600761B2 (en) 2020-02-21 2023-03-07 Applied Materials, Inc. High critical temperature metal nitride layer with oxide or oxynitride seed layer
US12052935B2 (en) 2020-02-21 2024-07-30 Applied Materials, Inc. Method of making high critical temperature metal nitride layer
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210395883A1 (en) * 2020-06-22 2021-12-23 Tokyo Electron Limited System and Method for Thermally Cracking Ammonia
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7328293B2 (ja) * 2021-09-27 2023-08-16 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
JP7458432B2 (ja) * 2022-03-09 2024-03-29 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6972436B2 (en) 1998-08-28 2005-12-06 Cree, Inc. High voltage, high temperature capacitor and interconnection structures
JP3667535B2 (ja) 1998-09-17 2005-07-06 東京エレクトロン株式会社 成膜方法
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
KR100340716B1 (ko) * 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
US6465373B1 (en) * 2000-08-31 2002-10-15 Micron Technology, Inc. Ultra thin TCS (SiCl4) cell nitride for DRAM capacitor with DCS (SiH2Cl2) interface seeding layer
KR100753667B1 (ko) * 2001-12-29 2007-08-31 매그나칩 반도체 유한회사 반도체 제조 공정에서의 질소 플라즈마 소스를 이용한실리콘 질화막 증착 방법
US6884464B2 (en) 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
KR20040079172A (ko) * 2003-03-06 2004-09-14 주식회사 하이닉스반도체 반도체 소자의 유전체막 형성 방법
US20050037578A1 (en) * 2003-08-14 2005-02-17 Wei Wen Chen [method for forming an oxide/ nitride/oxide stacked layer]
JP4694209B2 (ja) 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5202372B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2010278260A (ja) 2009-05-28 2010-12-09 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170113057A (ko) * 2016-03-29 2017-10-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US11072859B2 (en) 2016-03-29 2021-07-27 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
KR20190014470A (ko) * 2017-08-02 2019-02-12 도쿄엘렉트론가부시키가이샤 실리콘막의 형성 방법 및 형성 장치
KR20190030169A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 실리콘 산화막을 형성하는 방법 및 장치, 및 기억 매체
CN109509698A (zh) * 2017-09-13 2019-03-22 东京毅力科创株式会社 形成氧化硅膜的方法和装置
CN109509698B (zh) * 2017-09-13 2023-10-24 东京毅力科创株式会社 形成氧化硅膜的方法和装置

Also Published As

Publication number Publication date
TWI508174B (zh) 2015-11-11
CN103035485A (zh) 2013-04-10
CN103035485B (zh) 2016-05-11
JP2013084911A (ja) 2013-05-09
JP6042656B2 (ja) 2016-12-14
US9190264B2 (en) 2015-11-17
TW201318065A (zh) 2013-05-01
US20130084712A1 (en) 2013-04-04
KR101396253B1 (ko) 2014-05-16

Similar Documents

Publication Publication Date Title
KR101396253B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101396243B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101570318B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR101233136B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
JP6030378B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101149380B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI585857B (zh) 半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
JP5572447B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6022276B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6151335B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101361673B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 5